eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • X-Received: by 10.31.180.79 with SMTP id d76mr3316222vkf.7.1520602879670; Fri, 09 Mar
    2018 05:41:19 -0800 (PST)
    X-Received: by 10.31.180.79 with SMTP id d76mr3316222vkf.7.1520602879670; Fri, 09 Mar
    2018 05:41:19 -0800 (PST)
    Path: news-archive.icm.edu.pl!news.icm.edu.pl!news.nask.pl!news.nask.org.pl!news.unit
    0.net!peer01.am4!peer.am4.highwinds-media.com!peer03.iad!feed-me.highwinds-medi
    a.com!news.highwinds-media.com!t24no1506503qtn.0!news-out.google.com!b37ni143qt
    k.0!nntp.google.com!r16no1497330qtn.1!postnews.google.com!glegroupsg2000goo.goo
    glegroups.com!not-for-mail
    Newsgroups: pl.misc.elektronika
    Date: Fri, 9 Mar 2018 05:41:19 -0800 (PST)
    In-Reply-To: <p7s8jf$8cd$1@node2.news.atman.pl>
    Complaints-To: g...@g...com
    Injection-Info: glegroupsg2000goo.googlegroups.com; posting-host=185.234.91.194;
    posting-account=67yd9woAAAAHUu8VHyA7Js47M98NE3m3
    NNTP-Posting-Host: 185.234.91.194
    References: <p7pq40$9vr$1$gof@news.chmurka.net> <p7s8jf$8cd$1@node2.news.atman.pl>
    User-Agent: G2/1.0
    MIME-Version: 1.0
    Message-ID: <1...@g...com>
    Subject: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub
    niekoniecznie)?
    From: s...@g...com
    Injection-Date: Fri, 09 Mar 2018 13:41:19 +0000
    Content-Type: text/plain; charset="UTF-8"
    Content-Transfer-Encoding: quoted-printable
    X-Received-Body-CRC: 2116124454
    X-Received-Bytes: 2439
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:730046
    [ ukryj nagłówki ]

    W dniu czwartek, 8 marca 2018 22:05:52 UTC+1 użytkownik Sebastian Biały napisał:
    > On 3/7/2018 11:46 PM, Adam Wysocki wrote:
    > > [ciach]
    >
    > Ogolnie nie ma darmowych w takim sensie jak kompilatory software. Te co
    > są, są żałosne.

    BZDURA !!

    >
    > Sprawdź jednak taką drogę przez mękę:
    >
    > https://www.xilinx.com/products/design-tools/isim.ht
    ml

    Gdzie tu widzisz "mękę"? Minusem istotnie jest brak "analogowego", tzn. graficznego
    obrazowanie szyny, ot takiego graficznego, "oscyloskopowego" wyświetlania zawartości
    szyny.

    >
    > Wiele narzędzi do projektowania FPGA zawiera jakieś symulatory więc
    > szukaj tam, nie spodziewaj się jednak że znajdziesz tam wszystko za
    > friko.

    Darmowy Webpack Xilinx'a wystarcza do naprawdę bardzo rozbudowanych projektów. W
    darmówce są ograniczenia co do układów z najwyższej półki i "wyuzdanych" IP.

    > W EDA nawet wersje demo mają imienną licencje.

    Jakie EDA?

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: