eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • Path: news-archive.icm.edu.pl!news.icm.edu.pl!newsfeed2.atman.pl!newsfeed.atman.pl!.P
    OSTED!not-for-mail
    From: Sebastian Biały <h...@p...onet.pl>
    Newsgroups: pl.misc.elektronika
    Subject: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub
    niekoniecznie)?
    Date: Fri, 9 Mar 2018 23:24:28 +0100
    Organization: ATMAN - ATM S.A.
    Lines: 39
    Message-ID: <p7v1j4$qnn$1@node2.news.atman.pl>
    References: <p7pq40$9vr$1$gof@news.chmurka.net> <p7s8jf$8cd$1@node2.news.atman.pl>
    <1...@g...com>
    NNTP-Posting-Host: 176.115.85.122
    Mime-Version: 1.0
    Content-Type: text/plain; charset=utf-8; format=flowed
    Content-Transfer-Encoding: 8bit
    X-Trace: node2.news.atman.pl 1520634276 27383 176.115.85.122 (9 Mar 2018 22:24:36
    GMT)
    X-Complaints-To: u...@a...pl
    NNTP-Posting-Date: Fri, 9 Mar 2018 22:24:36 +0000 (UTC)
    User-Agent: Mozilla/5.0 (Windows NT 10.0; WOW64; rv:52.0) Gecko/20100101
    Thunderbird/52.6.0
    In-Reply-To: <1...@g...com>
    Content-Language: en-US
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:730058
    [ ukryj nagłówki ]

    On 3/9/2018 2:41 PM, s...@g...com wrote:
    >> Ogolnie nie ma darmowych w takim sensie jak kompilatory software. Te co
    >> są, są żałosne.
    > BZDURA !!
    Wszystkie kompilatory/symulatory HDL dostępne w srodowiskach "darmowych"
    posiadają solidne ograniczenia: od rozmiaru, przez dostepne peryferia po
    szybkośc symulacji i zakres stosowanych standardów języka. Nie istnieje
    nic darmowego i pełnego (jak clang/gcc w software) co nadąża za
    standardem języków HDL, dostajesz bardziej coś na kształt wersji demo.
    Prawda tez taka że za standardem SystemVeriloga nikt nie nadąża bo
    robiony po pijaku ale przynajmniej komercyjni się starają kiedy świat
    free software nie jest w stanie zrobić sensownego parsera
    średniowiecznych wersji VHDLa czy Veriloga.

    >> Sprawdź jednak taką drogę przez mękę:
    >> https://www.xilinx.com/products/design-tools/isim.ht
    ml
    > Gdzie tu widzisz "mękę"?
    Ogromne środowisko pełne śmieci zbędnych do odpalenia prostych
    przykładów do nauki. Vivado zaczyna przekraczać zdrowy rozsadek nawet w
    standardzie duzych programów na PC i ściga się z najnowszymi grami pod
    kątem zjadania zasobów wszelakich.

    > Minusem istotnie jest brak "analogowego", tzn. graficznego
    obrazowanie szyny, ot takiego graficznego, "oscyloskopowego"
    wyświetlania zawartości szyny.
    To jest nic w porownaniu z zasobożernością tych środowisk.

    > Darmowy Webpack Xilinx'a wystarcza do naprawdę bardzo rozbudowanych
    projektów. W darmówce są ograniczenia co do układów z najwyższej półki i
    "wyuzdanych" IP.
    Co całkowicie usprawiedliwia moje twierdzenie że nie ma darmowych
    środowisk w sensie jak sa darmowe kompilatory software. Te ograniczenia
    w symulatorach HDL bywają bolesne kiedy jednoczesnie rynek software jest
    zawalony setkami kompialtorów nie dośc że bez ograniczen to i często z
    otwartym źródłem.

    >> W EDA nawet wersje demo mają imienną licencje.
    > Jakie EDA?
    https://en.wikipedia.org/wiki/Electronic_design_auto
    mation

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: