eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • Path: news-archive.icm.edu.pl!news.icm.edu.pl!news.chmurka.net!.POSTED.pi.v.chmurka.n
    et!not-for-mail
    From: g...@s...invalid (Adam Wysocki)
    Newsgroups: pl.misc.elektronika
    Subject: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub
    niekoniecznie)?
    Date: Mon, 12 Mar 2018 11:00:43 +0000 (UTC)
    Organization: news.chmurka.net
    Message-ID: <p85mkr$s1o$2$gof@news.chmurka.net>
    References: <p7pq40$9vr$1$gof@news.chmurka.net> <p7s8jf$8cd$1@node2.news.atman.pl>
    <1...@g...com>
    <p7v1j4$qnn$1@node2.news.atman.pl>
    NNTP-Posting-Host: pi.v.chmurka.net
    Mime-Version: 1.0
    Content-Type: text/plain; charset=ISO-8859-2
    Content-Transfer-Encoding: 8bit
    Injection-Date: Mon, 12 Mar 2018 11:00:43 +0000 (UTC)
    Injection-Info: news.chmurka.net; posting-account="gof";
    posting-host="pi.v.chmurka.net:172.24.44.20"; logging-data="28728";
    mail-complaints-to="abuse-news.(at).chmurka.net"
    User-Agent: tin/2.4.1-20161224 ("Daill") (UNIX) (Linux/4.4.50-v7+ (armv7l))
    Cancel-Lock: sha1:Kd7HPLB012BNqEJ9AxJtAZ2oYdk=
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:730146
    [ ukryj nagłówki ]

    Sebastian Biały <h...@p...onet.pl> wrote:

    > Wszystkie kompilatory/symulatory HDL dostępne w srodowiskach "darmowych"
    > posiadają solidne ograniczenia: od rozmiaru, przez dostepne peryferia po
    > szybkośc symulacji i zakres stosowanych standardów języka. Nie istnieje
    > nic darmowego i pełnego (jak clang/gcc w software) co nadąża za
    > standardem języków HDL, dostajesz bardziej coś na kształt wersji demo.

    Pytanie czy to demo nie wystarczy do amatorskich zastosowań...

    > To jest nic w porownaniu z zasobożernością tych środowisk.

    A ja miałem cichą nadzieję, że odpalę to na swoim raspi... ghdl nie chciał
    się skompilować, bo z jakiegoś powodu ma jakieś wstawki assemblerowe, ale
    na x86 poszedł.

    --
    [ Email: a@b a=grp b=chmurka.net ]
    [ Web: http://www.chmurka.net/ ]

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: