eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • Path: news-archive.icm.edu.pl!news.icm.edu.pl!news.chmurka.net!.POSTED.pi.v.chmurka.n
    et!not-for-mail
    From: g...@s...invalid (Adam Wysocki)
    Newsgroups: pl.misc.elektronika
    Subject: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub
    niekoniecznie)?
    Date: Mon, 12 Mar 2018 11:07:49 +0000 (UTC)
    Organization: news.chmurka.net
    Message-ID: <p85n25$s1o$3$gof@news.chmurka.net>
    References: <p7pq40$9vr$1$gof@news.chmurka.net>
    <7...@g...com>
    NNTP-Posting-Host: pi.v.chmurka.net
    Mime-Version: 1.0
    Content-Type: text/plain; charset=ISO-8859-2
    Content-Transfer-Encoding: 8bit
    Injection-Date: Mon, 12 Mar 2018 11:07:49 +0000 (UTC)
    Injection-Info: news.chmurka.net; posting-account="gof";
    posting-host="pi.v.chmurka.net:172.24.44.20"; logging-data="28728";
    mail-complaints-to="abuse-news.(at).chmurka.net"
    User-Agent: tin/2.4.1-20161224 ("Daill") (UNIX) (Linux/4.4.50-v7+ (armv7l))
    Cancel-Lock: sha1:OUJD5oi8Zrf4fQub6FKlhuaOT0Y=
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:730147
    [ ukryj nagłówki ]

    s...@g...com wrote:

    > 1. Wywal te książkę do hasioka.

    Ludzie ją polecali... z drugiej strony po pierwszym rozdziale mam
    wrażenie, że zbytnio komplikuje proste sprawy. Ale może tak musi.

    Jest jakaś książka albo tutorial, które polecasz do nauki zamiast tej?

    > 2. Wejdź na www.xilinx.com i zassaj sobie ISE-14.7. Darmowy Webpack,
    > wersja pod Win i Linux, jak Ci pasuje. Jest to zintegrowane środowisko
    > projektowe. Masz tam całkiem sensowny symulator iSim. Można się tam do
    > paru rzeczy przyczepić, ale nie jest źle.

    2 GB... straszna kobyła... naprawdę nie ma nic mniejszego?

    > 4. Multiplekser napisz najpierw w wersji syntezowalnej:
    > entity mux is
    > Port ( A : in STD_LOGIC;
    > B : in STD_LOGIC;
    > SEL : in STD_LOGIC;
    > Q : out STD_LOGIC);
    > end mux;
    >
    > architecture Behavioral of mux is
    >
    > begin
    > process(A,B,SEL)
    > begin
    > case SEL is
    > when '0' => Q<=A;
    > when '1' => Q<=B;
    > when others => null;
    > end case;
    >
    > end process;
    >
    >
    > end Behavioral;
    >
    > Prawda że intuicyjne?

    Tak... trochę inaczej, niż w książce, ale intuicyjne. W sensie rozumiem,
    co tu jest napisane, ale chyba jeszcze nie napisałbym tego od podstaw.

    > 4. Potem napisz sobie testbencha. Jak czegoś nie będziesz wiedział, to
    > pytaj tutaj.

    Na razie mam problem z odpaleniem testbencha w ghdlu... mam wrażenie, że
    odpala się nie to, co bym chciał. Pisałem o tym na comp.lang.vhdl:

    https://groups.google.com/forum/#!topic/comp.lang.vh
    dl/1Xgjo8CkxmA

    --
    [ Email: a@b a=grp b=chmurka.net ]
    [ Web: http://www.chmurka.net/ ]

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: