eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika › [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
Ilość wypowiedzi w tym wątku: 23

  • 11. Data: 2018-03-12 20:43:41
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: Sebastian Biały <h...@p...onet.pl>

    On 3/12/2018 8:33 PM, Sebastian Biały wrote:
    > Nie ma górnego pulapu dla zastosowan komercyjnych, dodatkowo licencje są
    > czasowe.

    Tak na marginesie co chwile ktos wpada na taki pomysł:

    https://systemvision.com/
    https://www.tina.com

    i kilka innych

    Ponieważ komercyjne symulatory sa absurdalnie drogie to prawdopodobnie
    wypożyczanie ich na chwilę bedzie miało jakiś stabilny udział w rynku.


  • 12. Data: 2018-03-12 20:50:45
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: Sebastian Biały <h...@p...onet.pl>

    On 3/12/2018 8:33 PM, Sebastian Biały wrote:
    > Zerknij ogolnie tutaj:
    > http://www.fpga-site.com/lowcost.shtml

    Ponieważ zaraz się ktoś pewno przyczepi, to może wyjasnie chodzi o to że
    od nastu lat nic się nie zmieniło. Trial, demo, evaluation itd itp.


  • 13. Data: 2018-03-13 16:35:58
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: s...@g...com

    W dniu piątek, 9 marca 2018 23:24:38 UTC+1 użytkownik Sebastian Biały napisał:

    > Wszystkie kompilatory/symulatory HDL dostępne w srodowiskach "darmowych"
    > posiadają solidne ograniczenia: od rozmiaru, przez dostepne peryferia po
    > szybkośc symulacji i zakres stosowanych standardów języka. Nie istnieje
    > nic darmowego i pełnego (jak clang/gcc w software) co nadąża za
    > standardem języków HDL, dostajesz bardziej coś na kształt wersji demo.

    Symulator w ISE nie ma żadnych ograniczeń co do rozmiaru projektu. Ograniczenia
    dostępnych peryferiów? Jakich?! Szybkość symulacji behavioral jest błyskawiczna przy
    projektach zajmujących prawie całość zasobów kostki ( w moim przypadku najczęściej
    XC6SLX45-3FGG384). Czas symulacji istotnie wydłuża się przy symulacji Post-Route, co
    jest oczywiste, ale tak samo jest w wersji płatnej. Różnica pomiędzy wersją płatną, a
    darmową to TYLKO ograniczenia co do dostępnych kostek i niektórych IP. Tak czy
    inaczej nie jest to absolutnie nic na kształt demo.

    > Prawda tez taka że za standardem SystemVeriloga nikt nie nadąża bo
    > robiony po pijaku ale przynajmniej komercyjni się starają kiedy świat
    > free software nie jest w stanie zrobić sensownego parsera
    > średniowiecznych wersji VHDLa czy Veriloga.
    >

    Nie korzystam z free software, ale nie chce mi się wierzyć żeby w sofcie free nawet
    parser nie działał..


    > > Gdzie tu widzisz "mękę"?
    > Ogromne środowisko pełne śmieci zbędnych do odpalenia prostych
    > przykładów do nauki. Vivado zaczyna przekraczać zdrowy rozsadek nawet w
    > standardzie duzych programów na PC i ściga się z najnowszymi grami pod
    > kątem zjadania zasobów wszelakich.

    No to zdecyduj się.. Free software "do dupy", komercyjny za duży, czyli co jest
    dobre?

    >
    > > Minusem istotnie jest brak "analogowego", tzn. graficznego
    > obrazowanie szyny, ot takiego graficznego, "oscyloskopowego"
    > wyświetlania zawartości szyny.
    > To jest nic w porownaniu z zasobożernością tych środowisk.

    No weź mnie nie rozśmieszaj!! Masz kompletnie pokrzywioną hierarchię wartości!!
    Zasobożerność, to właśnie nie jest ŻADEN problem. Jak potrzebujesz przewozić meble,
    to kupujesz ciężarówkę, a nie robisz tego osobówką. W przypadku EDA, dokupujesz za
    parę stówek trochę pamięci, odpowiednio duży dysk i po zawodach.. Natomiast brak
    wyświetlania "analogowego" szyny, powoduje że musisz na piechotę odczytywać kolejne
    dane i robić wykresik coby zobaczyć czy sinus jest istotnie sinusem..

    >
    > > Darmowy Webpack Xilinx'a wystarcza do naprawdę bardzo rozbudowanych
    > projektów. W darmówce są ograniczenia co do układów z najwyższej półki i
    > "wyuzdanych" IP.
    > Co całkowicie usprawiedliwia moje twierdzenie że nie ma darmowych
    > środowisk w sensie jak sa darmowe kompilatory software. Te ograniczenia
    > w symulatorach HDL bywają bolesne kiedy jednoczesnie rynek software jest
    > zawalony setkami kompialtorów nie dośc że bez ograniczen to i często z
    > otwartym źródłem.

    A po cholerę Adamowi do nauki więcej?


  • 14. Data: 2018-03-13 17:02:57
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: s...@g...com

    W dniu poniedziałek, 12 marca 2018 12:07:51 UTC+1 użytkownik Adam Wysocki napisał:

    > Ludzie ją polecali... z drugiej strony po pierwszym rozdziale mam
    > wrażenie, że zbytnio komplikuje proste sprawy. Ale może tak musi.
    >

    Ja będąc na Twoim etapie zakupiłem takie coś:
    https://www.amazon.co.uk/VHDL-Designers-Stefan-Sjoho
    lm/dp/0134734149
    Książka jest całkiem nieźle napisana, ale właśnie pierwsze rozdziały też wprowadziły
    mi trochę mętliku we łbie. Oczywiście "podstawowe podstawy" musisz załapać z jakiejś
    literatury, ale najwięcej nauczysz się na przykładach. Licznik, multiplekser, dekoder
    i tego typu cheble... Np. wpisujesz w googlarce "vhdl counter" itp.. W ISE XILINX'a
    masz też zgrabną podpowiadaczkę do syntezy i symulacji. Krótko, zwięźle i na temat.


    >
    > 2 GB... straszna kobyła... naprawdę nie ma nic mniejszego?
    >

    Cóż to w dzisiejszych czasach 2GB? Jest za to bardzo przejrzyste i szlag Cię nie
    trafia jak chcesz uzyskać zamierzony efekt. Właśnie dlatego uważam, że idealne
    zarówno do nauki jak i dalej do profesjonalnej roboty.


    >
    > Tak... trochę inaczej, niż w książce, ale intuicyjne. W sensie rozumiem,
    > co tu jest napisane, ale chyba jeszcze nie napisałbym tego od podstaw.
    >

    Nie od razu Kraków zbudowano..

    > > 4. Potem napisz sobie testbencha. Jak czegoś nie będziesz wiedział, to
    > > pytaj tutaj.
    >
    > Na razie mam problem z odpaleniem testbencha w ghdlu... mam wrażenie, że
    > odpala się nie to, co bym chciał. Pisałem o tym na comp.lang.vhdl:
    >

    Więc skorzystaj z ISE.

    > https://groups.google.com/forum/#!topic/comp.lang.vh
    dl/1Xgjo8CkxmA
    >
    John dobrze radzi !!


  • 15. Data: 2018-03-13 22:15:28
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: Sebastian Biały <h...@p...onet.pl>

    On 3/13/2018 4:35 PM, s...@g...com wrote:
    > Symulator w ISE nie ma żadnych ograniczeń co do rozmiaru projektu. Ograniczenia
    dostępnych peryferiów? Jakich?!

    Nie wiem akurat co ise ogranicza, ale inni ograniczali ficzery języka
    (asercje, nowe standardy itp) oraz dostęp do niektórych co bardziej
    interesujacych IP (jak np. brak gotowca DDR3[1] itd).

    > darmową to TYLKO ograniczenia co do dostępnych kostek i niektórych IP.

    Dokładnie o tym piszę.

    > Tak czy inaczej nie jest to absolutnie nic na kształt demo.

    Nie mam ochoty wykłucać się o definicje co rozumiem przez demo w
    software/hardware.

    >> Prawda tez taka że za standardem SystemVeriloga nikt nie nadąża bo
    >> robiony po pijaku ale przynajmniej komercyjni się starają kiedy świat
    >> free software nie jest w stanie zrobić sensownego parsera
    >> średniowiecznych wersji VHDLa czy Veriloga.
    > Nie korzystam z free software, ale nie chce mi się wierzyć żeby w sofcie free nawet
    parser nie działał..

    Nie dziala poprawnie nawet w komercyjnych symulatorach ale tam komuś
    chce się przegladać te tysiące stron standardu w poszukiwaniu cienia
    sensu i szansy na naprawę. W przypadku free wszyscy zatrzymali się 20
    lat temu i stoi. Tak, da się kompilować helloworldy, ale cieżkie rzeczy
    nie przejdą. Ciezkie czyli asercje, obiektowość, debugging, ip cory,
    modele zewnetrzne, mixed, analog itd itp. Nie ma freeware majace te
    elementy. Zazwyczaj znajdzie się coś z gatunku "potrafimy troche
    parsować i troche symulowac Veriloga z przed 10 lat, huraaa!".

    Sytuacja podobna jak z C++ - nie ma ani jednego pełnego kompilatora tego
    języka, choć wszystkie potrafią 98%. Róznica taka że w hardware tak mają
    za grube tysiące a w software tak mają za 0.

    >> Ogromne środowisko pełne śmieci zbędnych do odpalenia prostych
    >> przykładów do nauki. Vivado zaczyna przekraczać zdrowy rozsadek nawet w
    >> standardzie duzych programów na PC i ściga się z najnowszymi grami pod
    >> kątem zjadania zasobów wszelakich.
    > No to zdecyduj się.. Free software "do dupy", komercyjny za duży, czyli co jest
    dobre?

    Nie ma takiego co jest dobre. Na rynku hardware albo męczysz się jako
    hobbysta z ograniczeniami albo męczysz się jako klient dużej korpo z
    bugami softu, może tylko lżej, bo jest na kogo pokrzyczeć.

    >> > Minusem istotnie jest brak "analogowego", tzn. graficznego
    >> obrazowanie szyny, ot takiego graficznego, "oscyloskopowego"
    >> wyświetlania zawartości szyny.
    >> To jest nic w porownaniu z zasobożernością tych środowisk.
    > No weź mnie nie rozśmieszaj!! Masz kompletnie pokrzywioną hierarchię wartości!!

    Nie, po prostu potrzebujesz analog view. A ja potrzebuje asercji,
    odpalania zdalnego na farmie weryfikacyjnej, raportowania regresji itd itp.

    > Zasobożerność, to właśnie nie jest ŻADEN problem.

    Dla edukacji zasadniczy. Odpalenie kompilatora, symulatora itd. powinno
    zając sekundy. Zajmuje więcej bo srodowisko jest za grube. Większośc
    malych projektów programista HDL przesiaduje przed ekranem gapiąc się w
    coraz to głupsze komunikaty i czekając na wynik i zastanawiając się
    czemu symulacja dwóch bramek wymaga odpalania sie przez 10 sek jakiś
    zdumiewająco verbose narzedzi diabli wiedza do czego.

    > Jak potrzebujesz przewozić meble, to kupujesz ciężarówkę, a nie robisz tego
    osobówką. W przypadku EDA, dokupujesz za parę stówek trochę pamięci, odpowiednio duży
    dysk i po zawodach..

    To nic nie pomaga, aplikacje do HW są cieżkie nawet dla bardzo
    nowoczesnych komputerów. Jest inna sprawą że w tej branzy znajdziesz
    idiotów pracujących na *certyfikowanych* redhatach 3.0 i spodziewających
    się ze ich soft za $x000 bedzie tam banglał. Ale nawet jak możesz kupić
    wypasiony komputer to czasy symulacji, kompilacji, ładowania, analizy
    itd liczone sa w *tygodniach* na projekt dla jednego eventu. Taki Linux
    na symulowanym niskopoziomowo CPU potrafi wstawać kilka dni. Wiec
    pojęcie "troche stówek na pamięć i dysk" może być niedoszacowaniem. Dla
    hobbysty może wystraczyć choć jak wczęsniej programował w językach
    programowania software to pewno rzuci monitorem o scianę.

    > Natomiast brak wyświetlania "analogowego" szyny, powoduje że musisz na piechotę
    odczytywać kolejne dane i robić wykresik coby zobaczyć czy sinus jest istotnie
    sinusem..

    Do tego sa inne techniki niż gapienie sie w ekran, nawet z wykresem
    analogowym, ponieważ wieki temu dowiedziono że gapienie sie w ekran bywa
    obarczone czynnikiem ludzkim.

    > A po cholerę Adamowi do nauki więcej?

    No wlasnie, po cholere mu więcej jak wystraczył by kompilator i
    symulator? A tu dostaje miliard Ip corów, bloat ware i niepojęta ilość
    kodu w dllkach której nie potrzebuje.

    To tylko narzekanie. Prawda jest taka ze nie ma wyboru, to hermetyczny
    rynek i trzeba brać co jest.

    [1] Nie wiem czy to anegdota podkuta prawdą czy nie, ale jedna z firm
    produkujaca płytki dla hobbystów dodała kontroler DDR3 i pamięć po czym
    okazało sie ze hobbystyczna wersja syntezera go nie obsługuje. Płytki
    mimo to się sprzedawały. Wiele lat mineło i nie pamiętam kto to był.
    Wot, ciekawostka.


  • 16. Data: 2018-03-14 00:24:55
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: s...@g...com

    W dniu poniedziałek, 12 marca 2018 12:07:51 UTC+1 użytkownik Adam Wysocki napisał:
    > s...@g...com wrote:
    >
    > > 1. Wywal te książkę do hasioka.
    >
    > Ludzie ją polecali... z drugiej strony po pierwszym rozdziale mam
    > wrażenie, że zbytnio komplikuje proste sprawy. Ale może tak musi.
    >
    > Jest jakaś książka albo tutorial, które polecasz do nauki zamiast tej?
    >

    Tu masz rewelacyjnie napisaną książkę:

    http://misp.mui.ac.ir/sites/misp.mui.ac.ir/files/ebo
    oksclub.org__FPGA_Prototyping_by_VHDL_Examples__Xili
    nx_Spartan_3_Version.pdf


  • 17. Data: 2018-03-15 20:28:57
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: wowa <w...@g...pl>

    > Hej,
    >
    > Przymierzając się do zabawy z CPLD zacząłem uczyć się VHDLa z książki "The

    Pozwolę się wtrącić nieśmiało. Jak rozumiem zaczynasz naukę i
    potrzebujesz prostych i tanich narzędzi do zrobienia niewielkiego
    projektu. Zaraz mnie zakrzyczą za wykopaliska ale rzuć okiem na
    Max+Plus Altery i Quartus
    https://www.altera.com/support/support-resources/dow
    nload/legacy/maxplus2/mp2-index.html
    Do tego proste MAX3000 (EPM3064) i można zaczynać zabawę. Max+Plus był
    naprawdę intuicyjnym programem, mozna było narysować schemat, przypisać
    nogi i gotowe :) No może ciut bardziej skomplikowane ale znajac
    angielski sobie poradzisz z przekopaniem manuala. Quartusa nie znam bo
    nie miałem potrzeby dalej tego ciągnąć. W porównaniu do tego co
    proponują koledzy to jest jak kosa do dywizji pancernej :)
    Wojtek


  • 18. Data: 2018-03-16 02:49:19
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: s...@g...com

    W dniu czwartek, 15 marca 2018 20:29:24 UTC+1 użytkownik wowa napisał:
    > > Hej,
    > >
    > > Przymierzając się do zabawy z CPLD zacząłem uczyć się VHDLa z książki "The
    >
    > Pozwolę się wtrącić nieśmiało. Jak rozumiem zaczynasz naukę i
    > potrzebujesz prostych i tanich narzędzi do zrobienia niewielkiego
    > projektu. Zaraz mnie zakrzyczą za wykopaliska ale rzuć okiem na
    > Max+Plus Altery i Quartus
    > https://www.altera.com/support/support-resources/dow
    nload/legacy/maxplus2/mp2-index.html

    Zakrzyczeć to można Altere za spapraną stronę. Nie da się zassać tego softu. Z
    ciekawości chciałem spróbować, ale niestety...


  • 19. Data: 2018-03-16 07:17:56
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: "J.F." <j...@p...onet.pl>

    Dnia Thu, 15 Mar 2018 20:28:57 +0100, wowa napisał(a):
    >> Przymierzając się do zabawy z CPLD zacząłem uczyć się VHDLa z książki "The
    >
    > Pozwolę się wtrącić nieśmiało. Jak rozumiem zaczynasz naukę i
    > potrzebujesz prostych i tanich narzędzi do zrobienia niewielkiego
    > projektu. Zaraz mnie zakrzyczą za wykopaliska ale rzuć okiem na
    > Max+Plus Altery i Quartus
    > https://www.altera.com/support/support-resources/dow
    nload/legacy/maxplus2/mp2-index.html
    > Do tego proste MAX3000 (EPM3064) i można zaczynać zabawę. Max+Plus był
    > naprawdę intuicyjnym programem, mozna było narysować schemat, przypisać
    > nogi i gotowe :)

    Do zabawy ze starymi CPLD moze i dobre, ale jako kolega sie chcial
    VHDL nauczyc ...

    J.


  • 20. Data: 2018-03-17 05:35:20
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: s...@g...com

    W dniu wtorek, 13 marca 2018 22:15:44 UTC+1 użytkownik Sebastian Biały napisał:
    > On 3/13/2018 4:35 PM, s...@g...com wrote:
    > > Symulator w ISE nie ma żadnych ograniczeń co do rozmiaru projektu. Ograniczenia
    dostępnych peryferiów? Jakich?!
    >
    > Nie wiem akurat co ise ogranicza, ale inni ograniczali ficzery języka
    > (asercje, nowe standardy itp) oraz dostęp do niektórych co bardziej
    > interesujacych IP (jak np. brak gotowca DDR3[1] itd).


    No to jak nie wiesz co ISE ogranicza, to po co gadasz że wszystkie darmówki są do
    bani. Piszesz, że inni coś ograniczali.. Ja Adamowi nie proponuję "innych". Jakoś
    "innie" argumentujesz.. Jak "inny"..

    >
    > > darmową to TYLKO ograniczenia co do dostępnych kostek i niektórych IP.
    >
    > Dokładnie o tym piszę.

    Niedokładnie !!

    >
    > > Tak czy inaczej nie jest to absolutnie nic na kształt demo.
    >
    > Nie mam ochoty wykłucać się o definicje co rozumiem przez demo w
    > software/hardware.
    >
    > >> Prawda tez taka że za standardem SystemVeriloga nikt nie nadąża bo
    > >> robiony po pijaku ale przynajmniej komercyjni się starają kiedy świat
    > >> free software nie jest w stanie zrobić sensownego parsera
    > >> średniowiecznych wersji VHDLa czy Veriloga.
    > > Nie korzystam z free software, ale nie chce mi się wierzyć żeby w sofcie free
    nawet parser nie działał..
    >
    > Nie dziala poprawnie nawet w komercyjnych symulatorach ale tam komuś
    > chce się przegladać te tysiące stron standardu w poszukiwaniu cienia
    > sensu i szansy na naprawę. W przypadku free wszyscy zatrzymali się 20
    > lat temu i stoi. Tak, da się kompilować helloworldy, ale cieżkie rzeczy
    > nie przejdą. Ciezkie czyli asercje, obiektowość, debugging, ip cory,
    > modele zewnetrzne, mixed, analog itd itp. Nie ma freeware majace te
    > elementy. Zazwyczaj znajdzie się coś z gatunku "potrafimy troche
    > parsować i troche symulowac Veriloga z przed 10 lat, huraaa!".

    Ojapierdole!! A tymczasem komóry działają, kompy też.. Chyba jesteś zwolennikiem
    teorii, że bąk nie może latać, bo ma zbyt małe skrzydła w stosunku do swojej masy. No
    ale bąk o tym nie wie, więc lata :). Podobnież jest ze mną. Przepuszczam swoje
    projekty przez symulator nie wiedząc że jest on do bani. Dzięki owej niewiedzy
    urządzenia działają zgodnie z założeniem i wynikiem symulacji.

    >
    > Sytuacja podobna jak z C++ - nie ma ani jednego pełnego kompilatora tego
    > języka, choć wszystkie potrafią 98%. Róznica taka że w hardware tak mają
    > za grube tysiące a w software tak mają za 0.
    >

    No to masz pole do popisu!!


    >
    > Nie ma takiego co jest dobre. Na rynku hardware albo męczysz się jako
    > hobbysta z ograniczeniami albo męczysz się jako klient dużej korpo z
    > bugami softu, może tylko lżej, bo jest na kogo pokrzyczeć.

    Zmień zawód.
    >

    >
    > Nie, po prostu potrzebujesz analog view. A ja potrzebuje asercji,
    > odpalania zdalnego na farmie weryfikacyjnej, raportowania regresji itd itp.

    Nawet nie wiem co to jest farma weryfikacyjna i raport regresji itd itp. Wiem, że 30
    lat temu nie było takiej nowomowy i dzięki temu zakupiona wówczas mikrofalówka
    koreańska działa do dzisiaj. Żarówę ino wymieniałem 2 tygodnie temu (tę do
    oświetlenia wnętrza). A moja kobita kupiła se jakiegoś nowego gadżeta typu smartfon,
    czy ajfon, który zapewne przeszedł przez jakąś farmę. Efekt jest taki, że jak ktoś do
    niej dzwonił, a była w innym pokoju i mi krzyknęła "odbierz telefon", to niestety
    poddałem się. Sorry!! Nie umiem !! Kapujesz?!

    >
    > > Zasobożerność, to właśnie nie jest ŻADEN problem.
    >
    > Dla edukacji zasadniczy. Odpalenie kompilatora, symulatora itd. powinno
    > zając sekundy. Zajmuje więcej bo srodowisko jest za grube. Większośc
    > malych projektów programista HDL przesiaduje przed ekranem gapiąc się w
    > coraz to głupsze komunikaty i czekając na wynik i zastanawiając się
    > czemu symulacja dwóch bramek wymaga odpalania sie przez 10 sek jakiś
    > zdumiewająco verbose narzedzi diabli wiedza do czego.

    Piepszysz głupoty. Parę sekund więcej w czasie odpalania + jakieś tam komunikaty, to
    problem ZASADNICZY? Weź przestań...

    >

    > To nic nie pomaga, aplikacje do HW są cieżkie nawet dla bardzo
    > nowoczesnych komputerów. Jest inna sprawą że w tej branzy znajdziesz
    > idiotów pracujących na *certyfikowanych* redhatach 3.0 i spodziewających
    > się ze ich soft za $x000 bedzie tam banglał. Ale nawet jak możesz kupić
    > wypasiony komputer to czasy symulacji, kompilacji, ładowania, analizy
    > itd liczone sa w *tygodniach* na projekt dla jednego eventu. Taki Linux
    > na symulowanym niskopoziomowo CPU potrafi wstawać kilka dni. Wiec
    > pojęcie "troche stówek na pamięć i dysk" może być niedoszacowaniem. Dla
    > hobbysty może wystraczyć choć jak wczęsniej programował w językach
    > programowania software to pewno rzuci monitorem o scianę.

    Adam chce się nauczyć HDL. O czym Ty zaś nawijasz? Jakiś nowatorski prom kosmiczny
    chcesz zrobić? Implementacja do FPGA/CPLD trwa trochę dłużej niż kompilacja softu pod
    CPU. Synteza, mapowanie do zasobów kostki, routing (topologia - matematyka), to
    trochę musi potrwać. Na moim lapku, XC6SLX45 z prawie pełnym wykorzystaniem zasobów
    BRAM+DSP+kupa slajsów trwa to jakieś 20 minut. Dla Adamowego multipleksera będzie to
    niecała minuta. Nie sprawdzałem, ale idę o zakład, że będzie to w tych okolicach.



    > Do tego sa inne techniki niż gapienie sie w ekran, nawet z wykresem
    > analogowym, ponieważ wieki temu dowiedziono że gapienie sie w ekran bywa
    > obarczone czynnikiem ludzkim.

    Chętnie poznam ów dowód. Jak mnie przekona, to wypierdolę oscyloskop za okno.

strony : 1 . [ 2 ] . 3


Szukaj w grupach

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: