eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika › Xilinx - symulator iSim
Ilość wypowiedzi w tym wątku: 1

  • 1. Data: 2018-10-28 11:22:28
    Temat: Xilinx - symulator iSim
    Od: s...@g...com

    Witam,
    Czy ktoś z Was się orientuje jaki jest format pliku zapisu wyników symulacji z
    iSim'a? Problem w tym, że symulator nie ma opcji graficznego obrazowania danych z
    szyny. Np. mam 8-bitowy licznik binarny, który kręci się w kółko i chciałbym zobaczyć
    tą piłę na ekranie. Jakbym znał format zapisu, to sam sobie soft do tego wyrzeźbię.

strony : [ 1 ]


Szukaj w grupach

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: