eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika › Analogowy układ ilorazujący
Ilość wypowiedzi w tym wątku: 23

  • 1. Data: 2018-02-27 12:16:30
    Temat: Analogowy układ ilorazujący
    Od: Tomasz Szcześniak <t...@e...com>

    Pytanie jak w temacie - da się w miarę prosto zrobić układ dający na
    wyjściu napięcie proporcjonalne do stosunku dwóch napięć? Zakres
    dynamiki sygnałów wejściowych rzędu 40dB. Kombinowałęm trochę z różnymi
    układami, ale nie chciało stabilnie pracować.

    --
    Tomasz Szcześniak

    t...@s...pl
    FIDO: 2:480/127.134 HYDEPARK moderator
    http://www.stareradia.pl - wszystko o przedwojennej radiotechnice


  • 2. Data: 2018-02-27 12:43:20
    Temat: Re: Analogowy układ ilorazujący
    Od: "J.F." <j...@p...onet.pl>

    Użytkownik "TomaszSzcześniak" napisał w wiadomości grup
    dyskusyjnych:s...@t...dom...
    >Pytanie jak w temacie - da się w miarę prosto zrobić układ dający na
    >wyjściu napięcie proporcjonalne do stosunku dwóch napięć? Zakres
    >dynamiki sygnałów wejściowych rzędu 40dB.

    Uwaga - wynik moze siegnac 80dB.
    Chyba, ze napiecia jakies wspolbiezne bardziej.

    > Kombinowałęm trochę z różnymi
    >układami, ale nie chciało stabilnie pracować.

    Nie chcesz cyfrowo ?
    Bo teoretycznie sie da, a w praktyce to juz wiesz.

    Uklady mnozace chyba jeszcze produkuja, zapiąć w petle sprzezenia
    wzmacniacza mozna.

    Albo bawic sie w logarytmy na diodach ... sa chyba i gotowe scalaki do
    logarytmow.

    Szybko musi byc ?

    J.


  • 3. Data: 2018-02-27 13:57:55
    Temat: Re: Analogowy układ ilorazujący
    Od: Mario <M...@...pl>

    W dniu 27.02.2018 o 12:16, Tomasz Szcześniak pisze:
    > Pytanie jak w temacie - da się w miarę prosto zrobić układ dający na
    > wyjściu napięcie proporcjonalne do stosunku dwóch napięć? Zakres
    > dynamiki sygnałów wejściowych rzędu 40dB. Kombinowałęm trochę z różnymi
    > układami, ale nie chciało stabilnie pracować.

    Są wzmacniacze logarytmujące. Możesz zlogarytmować i odjąć :) Ale trzeba
    by jeszcze po tym zlinearyzowaĆ.

    --
    pozdrawiam
    MD


  • 4. Data: 2018-02-27 16:41:03
    Temat: Re: Analogowy układ ilorazujący
    Od: Tomasz Szcześniak <t...@e...com>

    Dnia 27.02.2018 J.F. <j...@p...onet.pl> napisał/a:


    > Uwaga - wynik moze siegnac 80dB.
    > Chyba, ze napiecia jakies wspolbiezne bardziej.

    Współbieżne, nie napisałem. Różnice nie powinny być większe niż
    kilkanaście procent.

    > Nie chcesz cyfrowo ?
    > Bo teoretycznie sie da, a w praktyce to juz wiesz.

    Układ czysto analogowy to i nie chcę tam się w cyfrówkę bawić. To ma być
    charakterograf, i chcę widziec charakterystykę wykreślającą zgodność
    charakterystyk dwóch elementów.

    > Uklady mnozace chyba jeszcze produkuja, zapiąć w petle sprzezenia
    > wzmacniacza mozna.
    >
    > Albo bawic sie w logarytmy na diodach ... sa chyba i gotowe scalaki do
    > logarytmow.

    Za mała różnica. Chyba. Mogę spróbować policzyć, pomacać prototyp.

    > Szybko musi byc ?

    DC do 100Hz wystarczy.

    --
    Tomasz Szcześniak

    t...@s...pl
    FIDO: 2:480/127.134 HYDEPARK moderator
    http://www.stareradia.pl - wszystko o przedwojennej radiotechnice


  • 5. Data: 2018-02-28 05:03:56
    Temat: Re: Analogowy układ ilorazujący
    Od: "J.F." <j...@p...onet.pl>

    Dnia 27 Feb 2018 15:41:03 GMT, Tomasz Szcześniak napisał(a):
    > Dnia 27.02.2018 J.F. <j...@p...onet.pl> napisał/a:
    >> Nie chcesz cyfrowo ?
    >> Bo teoretycznie sie da, a w praktyce to juz wiesz.
    >
    > Układ czysto analogowy to i nie chcę tam się w cyfrówkę bawić. To ma być
    > charakterograf, i chcę widziec charakterystykę wykreślającą zgodność
    > charakterystyk dwóch elementów.

    Eee tam. Karta/modul USB przetwornikow do komputera i masz najlepszy
    charakterograf :-)

    >> Szybko musi byc ?
    >
    > DC do 100Hz wystarczy.

    No to jeszcze takie cuda, ze przetwornik A/D, ale taki co ma wejscie
    napiecia odniesienia, a wyjciem cyfrowym poganiac D/A.

    Czy dual slope, cos ala 7109/7107.

    J.


  • 6. Data: 2018-02-28 11:31:03
    Temat: Re: Analogowy układ ilorazujący
    Od: Waldemar <w...@z...fu-berlin.de>

    Am 27.02.2018 um 12:16 schrieb Tomasz Szcześniak:
    > Pytanie jak w temacie - da się w miarę prosto zrobić układ dający na
    > wyjściu napięcie proporcjonalne do stosunku dwóch napięć? Zakres
    > dynamiki sygnałów wejściowych rzędu 40dB. Kombinowałęm trochę z różnymi
    > układami, ale nie chciało stabilnie pracować.

    Najłatwiej inwerter na jednym, bufor na drugim napięciu i oba na układ
    mnożący. Tak robiliśmy na laboratorium z maszyn analogowych ;-)
    Albo cyfrowo.

    Waldek


  • 7. Data: 2018-02-28 16:32:28
    Temat: Re: Analogowy układ ilorazujący
    Od: Jakub Rakus <s...@o...pl>

    W dniu 27.02.2018 o 12:16, Tomasz Szcześniak pisze:
    > Pytanie jak w temacie - da się w miarę prosto zrobić układ dający na
    > wyjściu napięcie proporcjonalne do stosunku dwóch napięć? Zakres
    > dynamiki sygnałów wejściowych rzędu 40dB. Kombinowałęm trochę z różnymi
    > układami, ale nie chciało stabilnie pracować.
    >

    Może MPY634? Tylko cena trochę z kosmosu.

    --
    Pozdrawiam
    Jakub Rakus


  • 8. Data: 2018-02-28 18:45:43
    Temat: Re: Analogowy układ ilorazujący
    Od: Grzegorz Kurczyk <g...@c...usun.slupsk.pl>

    W dniu 28.02.2018 o 11:31, Waldemar pisze:
    > Am 27.02.2018 um 12:16 schrieb Tomasz Szcześniak:
    >> Pytanie jak w temacie - da się w miarę prosto zrobić układ dający na
    >> wyjściu napięcie proporcjonalne do stosunku dwóch napięć? Zakres
    >> dynamiki sygnałów wejściowych rzędu 40dB. Kombinowałęm trochę z różnymi
    >> układami, ale nie chciało stabilnie pracować.
    >
    > Najłatwiej inwerter na jednym, bufor na drugim napięciu i oba na układ
    > mnożący. Tak robiliśmy na laboratorium z maszyn analogowych ;-)
    > Albo cyfrowo.
    >
    > Waldek
    >


    Hmmm... -V1 * V2 = V1 / V2 ???
    Raczej układ mnożący w pętli ujemnego sprzężenia zwrotnego wzmacniacza
    operacyjnego Vo = (V1 * K) / V2


    --
    Pozdrawiam
    Grzegorz


  • 9. Data: 2018-02-28 21:40:56
    Temat: Re: Analogowy układ ilorazujący
    Od: Dykus <d...@s...wp.pl>

    Witam!

    W dniu 2018-02-27 o 12:16, Tomasz Szcześniak pisze:

    > Pytanie jak w temacie - da się w miarę prosto zrobić układ dający na
    > wyjściu napięcie proporcjonalne do stosunku dwóch napięć?

    A może z takich gotowców da się coś wybrać?
    http://www.analog.com/en/products/linear-products/an
    alog-multipliers-dividers.html

    --
    Pozdrawiam,
    Dykus.



  • 10. Data: 2018-03-01 00:36:31
    Temat: Re: Analogowy układ ilorazujący
    Od: Tomasz Szcześniak <t...@e...com>

    Dnia 28.02.2018 J.F. <j...@p...onet.pl> napisał/a:

    > Eee tam. Karta/modul USB przetwornikow do komputera i masz najlepszy
    > charakterograf :-)


    No, jeszcze trochę więcej, bo 5V to mało :) Ale ten projekt traktuję tez
    jako trening, żeby całkiem analogowej elektroniki nie zapomnieć :)

    > No to jeszcze takie cuda, ze przetwornik A/D, ale taki co ma wejscie
    > napiecia odniesienia, a wyjciem cyfrowym poganiac D/A.

    A na to nie wpadłem, a faktycznie - realizuje to co trzeba, prosto i
    wygodnie. Trzeba przepatrzyż szuflady, polutowac protoptyp i pomierzyć.
    W sumie ciekawy jestem, jak sie zachowa przetwornik AD przy tak dużych
    zmianach napięcia odniesienia, ale z drugiej strony - 8 bitów
    dokładności to tu naprawde dużo.

    --
    Tomasz Szcześniak

    t...@s...pl
    FIDO: 2:480/127.134 HYDEPARK moderator
    http://www.stareradia.pl - wszystko o przedwojennej radiotechnice

strony : [ 1 ] . 2 . 3


Szukaj w grupach

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: