eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaJeszcze raz VHDL - problem ze zwięzłym zapisem › Re: Jeszcze raz VHDL - problem ze zwięzłym zapisem
  • Data: 2015-05-29 22:23:56
    Temat: Re: Jeszcze raz VHDL - problem ze zwięzłym zapisem
    Od: s...@g...com szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    W dniu piątek, 29 maja 2015 13:39:46 UTC+2 użytkownik J.F. napisał:

    >
    > Ciesze sie, ze choc raz teoria zgadza sie z praktyka :-)

    Jeżeli teoria nie zgadza się z praktyką, to tym gorzej dla praktyki :)))
    Eeee tam.., jeżeli w cyfrówie wymiśli się coś BANALNIE prostego, to nie ma bata we
    wsi, coby to nie działało.. Podałeś też pomysł z wykorzystaniem akumulatora..
    Toż to pierwsze o czym pomyślałem, ale doszedłem do wniosku, że to lipa.. No bo po
    n+1 mlasknięciach zegara akumulator się "przekręci". Resetowanie co n-mlasknięć jest
    też beż sensu, bo tracę dane R(n downto 0)!!

    Ale..., Twój pomysł z akumulatorem + mój pomysł z rejestrem posuwnym ma sens.

    Robimy taki akumulator : Acc:=Acc+A(n)-A(0). A(n) - aktualna próbka z ADC, A(0) -
    n-mlasknięć starsza próbka z FIFO. Owym FIFO może być właśnie rejestr posuwny. Innymi
    słowy A(i) leci równolegle na akumulator i rejestr posuwny. Wyjście z rejestru (na
    końcu), to A(0). Minimalne zużycie zasobów FPGA dla dowolnego 'n', powinno śmigać na
    ciężkich MHz. Jutro sprawdzę na 'żywym organiźmie'. Dzięki za pomysły, sensownie się
    z Tobą gada !!


    >
    > Ale nadal jestem ciekaw jak on to zrobil z 32 liczbami, ze tak dobrze
    > bylo :-)
    >
    > J.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: