eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaVHDL - PROCESS › Re: VHDL - PROCESS
  • Data: 2014-04-26 19:37:14
    Temat: Re: VHDL - PROCESS
    Od: "nowyr" <d...@y...pl> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]


    "Adam Górski" <gorskiamalpa@wpkropkapl> wrote in message
    news:535a17ef$0$2368$65785112@news.neostrada.pl...

    >> Ale nie wszystkich. Tylko zegar i asynchroniczne sygnały
    >> nierejestrowane. Wszystko co siedzi pod even'em nie wpisujesz, bo to
    >> załatwia zbocze zegara, a nie lista czułości. Cokolwiek będzie pomiędzy
    >> elseif clk'event and clk='1' then oraz endifem dla niego nie wpisujemy
    >> na listę czułości procesu.
    >>

    > Za bardzo patrzysz na to przez pryzmat architektury na którą piszesz.

    Obaj mamy po części rację :-)

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: