eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaispLEVER - VHDL pin assignment › ispLEVER - VHDL pin assignment
  • X-Received: by 10.31.161.197 with SMTP id k188mr4482063vke.8.1522009486204; Sun, 25
    Mar 2018 13:24:46 -0700 (PDT)
    X-Received: by 10.31.161.197 with SMTP id k188mr4482063vke.8.1522009486204; Sun, 25
    Mar 2018 13:24:46 -0700 (PDT)
    Path: news-archive.icm.edu.pl!news.icm.edu.pl!newsfeed.pionier.net.pl!feeder.erje.net
    !2.us.feeder.erje.net!feeder.usenetexpress.com!feeder-in1.iad1.usenetexpress.co
    m!border1.nntp.dca1.giganews.com!nntp.giganews.com!k53no581610qtc.1!news-out.go
    ogle.com!c39ni1083qta.0!nntp.google.com!k53no581607qtc.1!postnews.google.com!gl
    egroupsg2000goo.googlegroups.com!not-for-mail
    Newsgroups: pl.misc.elektronika
    Date: Sun, 25 Mar 2018 13:24:45 -0700 (PDT)
    Complaints-To: g...@g...com
    Injection-Info: glegroupsg2000goo.googlegroups.com; posting-host=188.146.65.215;
    posting-account=aItwoAoAAADl77EHHw0OM7wo4ykKlwDL
    NNTP-Posting-Host: 188.146.65.215
    User-Agent: G2/1.0
    MIME-Version: 1.0
    Message-ID: <0...@g...com>
    Subject: ispLEVER - VHDL pin assignment
    From: silverdr <s...@s...com>
    Injection-Date: Sun, 25 Mar 2018 20:24:46 +0000
    Content-Type: text/plain; charset="UTF-8"
    Content-Transfer-Encoding: quoted-printable
    Lines: 25
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:730554
    [ ukryj nagłówki ]

    Witajcie, zna się ktoś może na VHDLu, w kontekście atrybutów? Mam taki problem:
    Lattice podaje sposób na przypisanie nóżek do sygnałów

    http://www.latticesemi.com/en/Support/AnswerDatabase
    /1/8/4/1844

    z przykładem:

    attribute LOC : string;
    attribute LOC of out0: signal is "PA3";

    Problem polega na tym, że to owszem działa, ale dla sygnałów zdefiniowanych jako np.
    'std_logic'. Kiedy natomiast próbuję użyć tej konstrukcji do przypisania nóżki do
    sygnału zdefiniowanego jako 'std_logic_vector':

    attribute LOC of A(1): signal is "P3";

    to ispLEVER się buntuje i mówi

    "design.vhd":19:20:19:20|Expecting : before class of object(s)"

    Teoretycznie można to obejść rezygnując z wektorów i definiując każdą linię szyny
    adresowej oddzielnie ale to wydaje się być strasznie kulawe. Wie ktoś może jak
    przypisać nóżki do takich sygnałów?

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: