eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaJeszcze raz VHDL - problem ze zwięzłym zapisem › Re: Jeszcze raz VHDL - problem ze zwięzłym zapisem
  • Data: 2015-05-27 19:29:59
    Temat: Re: Jeszcze raz VHDL - problem ze zwięzłym zapisem
    Od: s...@g...com szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    W dniu środa, 27 maja 2015 17:40:54 UTC+2 użytkownik J.F. napisał:

    >
    > No, to ciekaw jestem jak to kompilator zrealizowal.
    >
    > Dodawanie 32 liczb 11-bit- wydaje mi sie, ze to bardzo wredna funkcja.
    > Oczywiscie mozna zrealizowac zwyklymi sumatorami dwoch liczb, nawet
    > tyle samo ich trzeba, ale czas propagacji powinien wzrosnac.
    > Jak zrobil "liniowo" a nie "drzewem binarnym", to nawet sporo wzrosnac
    > ...

    W FPGA jest trochę inaczej i nie należy, wręcz NIE WOLNO myśleć w kategoriach bramek
    logicznych i połączeń między nimi i wydawałoby się wynikających z tego czasów
    propagacji. Tutaj masz generatory funkcji, które w obrębie pojedyńczego CLB są niczym
    innym jak pamięcią statyczną i realizują dowolną funkcję logiczną n-zmiennych
    (n-zależne od typu FPGA). Jest to właściwie LUT(look up table), w którym wartości
    zmiennych wejściowych stanowią adres do gotowego wyniku. Oczywiście taka kobyła jak
    sumator 32 liczb 11-bitowych nie wlezie w pojedyńczy LUT, więc czasy propagacji są
    pomiędzy poszczególnymi CLB, ale jest to mocno zniwelowane..

    >
    > Chyba, ze nawet nie zblizyles sie do granicy szybkosci ...
    >

    Na próbę pocisnąłem to na 80MHz, co akurat w moim projekcie nie ma sensu. Też
    działa!!

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: