eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaNauka programowania FPGA › Re: Nauka programowania FPGA
  • Path: news-archive.icm.edu.pl!news.icm.edu.pl!newsfeed2.atman.pl!newsfeed.atman.pl!go
    blin1!goblin.stu.neva.ru!newsfeed.neostrada.pl!unt-exc-01.news.neostrada.pl!unt
    -spo-a-02.news.neostrada.pl!news.neostrada.pl.POSTED!not-for-mail
    Subject: Re: Nauka programowania FPGA
    Newsgroups: pl.misc.elektronika
    References: <p5ibo8$e9a$1@node2.news.atman.pl>
    <d...@g...com>
    <p5kvjc$vgn$1@node2.news.atman.pl>
    <4...@g...com>
    <p5mrpu$qbh$1@node2.news.atman.pl>
    <a...@n...neostrada.pl>
    <p5n5rm$4sk$1@node2.news.atman.pl> <p5nplc$3or$1@node1.news.atman.pl>
    <p5o0r4$9d$1@node2.news.atman.pl>
    <a...@n...neostrada.pl>
    <p5p4vl$fc1$1@node1.news.atman.pl>
    <a...@n...neostrada.pl>
    <p5pvte$ak4$1@node1.news.atman.pl>
    <5a809d54$0$560$65785112@news.neostrada.pl>
    <p5q7pb$ibm$1@node1.news.atman.pl>
    <5a80a689$0$660$65785112@news.neostrada.pl>
    <p5q982$juv$1@node1.news.atman.pl>
    <5a814226$0$579$65785112@news.neostrada.pl>
    <p5sv71$kg2$1@node2.news.atman.pl>
    From: Piotr Dmochowski <i...@p...onet.pl>
    Date: Tue, 13 Feb 2018 10:45:27 +0100
    User-Agent: Mozilla/5.0 (Windows NT 6.1; WOW64; rv:52.0) Gecko/20100101
    Thunderbird/52.6.0
    MIME-Version: 1.0
    In-Reply-To: <p5sv71$kg2$1@node2.news.atman.pl>
    Content-Type: text/plain; charset=utf-8; format=flowed
    Content-Language: pl
    Content-Transfer-Encoding: 8bit
    Lines: 33
    Message-ID: <5a82b3b9$0$567$65785112@news.neostrada.pl>
    Organization: Telekomunikacja Polska
    NNTP-Posting-Host: 31.179.107.244
    X-Trace: 1518515129 unt-rea-b-01.news.neostrada.pl 567 31.179.107.244:51963
    X-Complaints-To: a...@n...neostrada.pl
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:729262
    [ ukryj nagłówki ]

    W dniu 2018-02-12 o 21:58, Sebastian Biały pisze:

    > Obecnie
    > sytuacja jest taka że *nagle* wszystkie IDE do pisania w HDL dorobiły
    > się lub dorabiają się interfejsów do obsługi. Jak zwykle na złość
    > Staśkom co nie moga w nich sensownie trzymać swoich schematów. Wot
    > zwykła złosliwość i spisek.
    Dzięki za wcześniejszą odpowiedź, ale jeszcze pomęczę bo mam niedosyt.
    Z tego co napisałeś to systemy EDA mają świetną kontrolę na poziomie
    wykonywania podstawowych cegiełek, domyślam się też że składanie
    cegiełek w większe moduły też jest jakoś opanowane.
    Z tego co piszesz to w projektowaniu cegiełek używa się tylko kodu, nie
    ma żadnych schematów graficznych. W sumie można przyjąć że to jest
    akceptowalne rozwiązanie, małą cegiełkę można jakoś ogarnąć koncepcyjnie
    w głowie (ewentualnie machnie się na boku na kartce schemat :) ), a
    potem już maszyny to przerabiają dalej.
    Pozostaje dla mnie kwestia jak dochodzi do stworzenia wymagań dla
    cegiełek i definicji ich powiązań między sobą. Czy ten proces tez
    zachodzi w jakimś kodzie bez nawet jednego rysunku blokowego na etapie
    wstępnego projektowania?
    A co by było jakby 10 lat temu był format schematu który można łatwo
    podzielić na części i łatwo wersjonować?
    Zastanawiam się czy całkowita rezygnacja z wersji graficznej układu nie
    jest jakimś upośledzeniem w pracy projektantów, czy faktycznie człowiek
    może zrezygnować z języka graficznego i zmienić się w interpretator
    plików tekstowych.
    Pytanie co się dzieje jak spotka się grupa projektantów i mają coś do
    omówienia - czy na tablicy piszą instrukcje czy jednak malują prostokąty
    i kreski?

    --
    Pozdrawiam
    Piotrek

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: