eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaWłączenie zasilania - CPLD Xilinx › Re: Włączenie zasilania - CPLD Xilinx
  • Path: news-archive.icm.edu.pl!newsfeed.gazeta.pl!news.onet.pl!not-for-mail
    From: Jerry1111 <j...@w...pl.pl.wp>
    Newsgroups: pl.misc.elektronika
    Subject: Re: Włączenie zasilania - CPLD Xilinx
    Date: Fri, 20 Nov 2009 21:54:57 +0000
    Organization: http://onet.pl
    Lines: 55
    Message-ID: <he737r$rn2$1@news.onet.pl>
    References: <c...@m...googlegroups.com>
    NNTP-Posting-Host: 94-195-52-21.zone9.bethere.co.uk
    Mime-Version: 1.0
    Content-Type: text/plain; charset=ISO-8859-2; format=flowed
    Content-Transfer-Encoding: 8bit
    X-Trace: news.onet.pl 1258754107 28386 94.195.52.21 (20 Nov 2009 21:55:07 GMT)
    X-Complaints-To: n...@o...pl
    NNTP-Posting-Date: Fri, 20 Nov 2009 21:55:07 +0000 (UTC)
    User-Agent: Thunderbird 2.0.0.23 (Windows/20090812)
    In-Reply-To: <c...@m...googlegroups.com>
    X-Antivirus: avast! (VPS 091120-0, 20/11/2009), Outbound message
    X-Antivirus-Status: Clean
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:576153
    [ ukryj nagłówki ]

    fred wrote:
    > Witam,
    >
    > Poszukuję sposobu na domyślne ustawianie stanów elementów w
    > strutkturze CPLD.
    >
    > Mam logikę zaszytą w w układzie CPLD Xilinix XC2C64A. Logika działa
    > ale po włączeniu zasilania ma przez chwilę "stan nieustalony"
    >
    > Czy macie jakieś pomysły jak to zablokować wewnętrznie w tej
    > strukturze ?
    >
    > Do głowych przychodzi mi pomysły żeby zrobić pin reset z wewnętrzna
    > logiką i podtrzymywać go przez chwilę po włączeniu zasilania
    >
    > Co Wy na to ??


    Nie uzywam Xilinx, ale ponizszy generator dziala mi w Alterze:
    Pewnie bedziesz musial doczytac jak w Xilinx definiowac stan power-up (a
    jak juz doczytasz to pewnie resetu nie bedziesz potrzebowal).

    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;

    entity reset_generator is
    port (
    clk : in std_logic;
    nrst_output : out std_logic
    );
    end reset_generator;

    architecture ar1 of reset_generator is
    signal int_res : std_logic;
    signal res_cnt : unsigned (2 downto 0) := "000";
    attribute altera_attribute : string;
    attribute altera_attribute of res_cnt : signal is "POWER_UP_LEVEL=LOW";

    begin
    nrst_output <= not int_res;
    process (clk)
    begin
    if (rising_edge(clk)) then
    if (res_cnt /= "111") then
    res_cnt <= res_cnt + 1;
    end if;
    int_res <= not res_cnt(0) or not res_cnt(1) or not res_cnt(2);
    end if;
    end process;
    end ar1;


    --
    Jerry1111

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

  • 22.11.09 23:35 fred

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: