eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika › Włączenie zasilania - CPLD Xilinx
Ilość wypowiedzi w tym wątku: 6

  • 1. Data: 2009-11-20 12:58:11
    Temat: Włączenie zasilania - CPLD Xilinx
    Od: fred <f...@i...pl>

    Witam,

    Poszukuję sposobu na domyślne ustawianie stanów elementów w
    strutkturze CPLD.

    Mam logikę zaszytą w w układzie CPLD Xilinix XC2C64A. Logika działa
    ale po włączeniu zasilania ma przez chwilę "stan nieustalony"

    Czy macie jakieś pomysły jak to zablokować wewnętrznie w tej
    strukturze ?

    Do głowych przychodzi mi pomysły żeby zrobić pin reset z wewnętrzna
    logiką i podtrzymywać go przez chwilę po włączeniu zasilania

    Co Wy na to ??

    Pozdrawiam
    Andrzej


  • 2. Data: 2009-11-20 13:29:38
    Temat: Re: Włączenie zasilania - CPLD Xilinx
    Od: games <g...@g...home.pl>

    fred pisze:
    > Witam,
    >
    > Poszukuję sposobu na domyślne ustawianie stanów elementów w
    > strutkturze CPLD.
    >
    > Mam logikę zaszytą w w układzie CPLD Xilinix XC2C64A. Logika działa
    > ale po włączeniu zasilania ma przez chwilę "stan nieustalony"
    >
    > Czy macie jakieś pomysły jak to zablokować wewnętrznie w tej
    > strukturze ?
    >
    > Do głowych przychodzi mi pomysły żeby zrobić pin reset z wewnętrzna
    > logiką i podtrzymywać go przez chwilę po włączeniu zasilania
    >
    > Co Wy na to ??
    >
    > Pozdrawiam
    > Andrzej


    Prosze cie bardzo cala gama resetow z roznym czasem resetu i watchdogiem
    jesli trzeba.

    http://www.microchip.com/ParamChartSearch/chart.aspx
    ?branchID=9008&mid=11&lang=en&pageId=79


  • 3. Data: 2009-11-20 14:57:52
    Temat: Re: Włączenie zasilania - CPLD Xilinx
    Od: "MiSTER" <1...@w...pl>

    No przecież wejście resetujące jest konieczne w cpld/fpga.


    Pozdrawiam
    MiSter



  • 4. Data: 2009-11-20 18:22:27
    Temat: Re: Włączenie zasilania - CPLD Xilinx
    Od: games <g...@g...home.pl>

    MiSTER pisze:
    > No przecież wejście resetujące jest konieczne w cpld/fpga.
    >
    >
    > Pozdrawiam
    > MiSter
    >
    >
    W kazdym systemie jest konieczne ale wlasnie zeby uniknac stanow
    niustalonych na liniach ukladow ktore uruchamiaja sie szybciej niz
    reszta systemu stosuje sie uklady resetu ktore to podtrzymuja stan niski
    na lini RESET do momentu prawidlowego startu calego systemu.


    W linku ktory podalem sa gotowe scalaki ale mozna to zrobic tez na piechote.


  • 5. Data: 2009-11-20 21:54:57
    Temat: Re: Włączenie zasilania - CPLD Xilinx
    Od: Jerry1111 <j...@w...pl.pl.wp>

    fred wrote:
    > Witam,
    >
    > Poszukuję sposobu na domyślne ustawianie stanów elementów w
    > strutkturze CPLD.
    >
    > Mam logikę zaszytą w w układzie CPLD Xilinix XC2C64A. Logika działa
    > ale po włączeniu zasilania ma przez chwilę "stan nieustalony"
    >
    > Czy macie jakieś pomysły jak to zablokować wewnętrznie w tej
    > strukturze ?
    >
    > Do głowych przychodzi mi pomysły żeby zrobić pin reset z wewnętrzna
    > logiką i podtrzymywać go przez chwilę po włączeniu zasilania
    >
    > Co Wy na to ??


    Nie uzywam Xilinx, ale ponizszy generator dziala mi w Alterze:
    Pewnie bedziesz musial doczytac jak w Xilinx definiowac stan power-up (a
    jak juz doczytasz to pewnie resetu nie bedziesz potrzebowal).

    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;

    entity reset_generator is
    port (
    clk : in std_logic;
    nrst_output : out std_logic
    );
    end reset_generator;

    architecture ar1 of reset_generator is
    signal int_res : std_logic;
    signal res_cnt : unsigned (2 downto 0) := "000";
    attribute altera_attribute : string;
    attribute altera_attribute of res_cnt : signal is "POWER_UP_LEVEL=LOW";

    begin
    nrst_output <= not int_res;
    process (clk)
    begin
    if (rising_edge(clk)) then
    if (res_cnt /= "111") then
    res_cnt <= res_cnt + 1;
    end if;
    int_res <= not res_cnt(0) or not res_cnt(1) or not res_cnt(2);
    end if;
    end process;
    end ar1;


    --
    Jerry1111


  • 6. Data: 2009-11-22 23:35:56
    Temat: Re: Włączenie zasilania - CPLD Xilinx
    Od: fred <f...@i...pl>

    Witam,
    > attribute altera_attribute of res_cnt : signal is "POWER_UP_LEVEL=LOW";

    Właśnie o coś takiego mi chodziło,
    Muszę tylko doczytać jak to zrobić w Xilinxie

    Dzięki wszystkim za info
    Pozdrawiam
    Andrzej

strony : [ 1 ]


Szukaj w grupach

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: