eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaVHDL - PROCESS › Re: VHDL - PROCESS
  • Data: 2014-04-25 00:33:06
    Temat: Re: VHDL - PROCESS
    Od: "nowyr" <d...@y...pl> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]


    "Adam Górski" <gorskiamalpa@wpkropkapl> wrote in message
    news:535909ab$0$2149$65785112@news.neostrada.pl...

    >> Lista czułości VHDL obejmuje zegary i to co przed nimi.jako input do
    >> procesu
    >>
    >> U12:process(clk, reset)
    >> if reset='0' then
    >> x <= '0';
    >> elseif clk'event and clk='1' then
    >> ......
    >
    > Lista czułości VHDL definiuje przy zmianach jakich sygnałów proces
    > powinien zostać wznowiony.

    Ale nie wszystkich. Tylko zegar i asynchroniczne sygnały nierejestrowane.
    Wszystko co siedzi pod even'em nie wpisujesz, bo to załatwia zbocze zegara,
    a nie lista czułości. Cokolwiek będzie pomiędzy elseif clk'event and clk='1'
    then oraz endifem dla niego nie wpisujemy na listę czułości procesu.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: