eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaVHDL - PROCESS › Re: VHDL - PROCESS
  • Data: 2014-04-24 14:55:07
    Temat: Re: VHDL - PROCESS
    Od: Adam Górski <gorskiamalpa@wpkropkapl> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    W dniu 2014-04-24 14:38, nowyr pisze:
    >
    > "Adam Górski" <gorskiamalpa@wpkropkapl> wrote in message
    > news:5358e985$0$2231$65785112@news.neostrada.pl...
    >
    >>> Gdzieś czytałem ostatnio także o innej ciekawej kwestii dotyczącej
    >>> procesu - mniej więcej chodzi o to, że słowo kluczowe "process" oraz
    >>> podawana wraz z nim lista czułości jest ważna właściwie tylko dla
    >>> zwiększenia czytelności kodu oraz przy vhdl-u używanym dla symulacji.
    >>> Przy syntezie do docelowego układu kompilator zwraca uwagę głównie na
    >>> zależności logiczne między sygnałami, czyli w tym przypadku na if
    >>> CLK'event and CLK='1' then.
    >>>
    >>
    >> Faktycznie największą rolę odgrywa w symulacji.
    >> Należy pamiętać że bardzo łatwo jest napisać fragment niesyntezowalny,
    >> czyli taki którego nie da się skompilować na 99% dostępnych układów.
    >
    > Lista czułości VHDL obejmuje zegary i to co przed nimi.jako input do
    > procesu
    >
    > U12:process(clk, reset)
    > if reset='0' then
    > x <= '0';
    > elseif clk'event and clk='1' then
    > ......

    Lista czułości VHDL definiuje przy zmianach jakich sygnałów proces
    powinien zostać wznowiony.

    Adam

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: