eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaJeszcze raz VHDL - problem ze zwięzłym zapisem › Re: Jeszcze raz VHDL - problem ze zwięzłym zapisem
  • X-Received: by 10.140.29.119 with SMTP id a110mr231351qga.20.1432503262413; Sun, 24
    May 2015 14:34:22 -0700 (PDT)
    X-Received: by 10.140.29.119 with SMTP id a110mr231351qga.20.1432503262413; Sun, 24
    May 2015 14:34:22 -0700 (PDT)
    Path: news-archive.icm.edu.pl!news.icm.edu.pl!newsfeed.pionier.net.pl!news.glorb.com!
    j8no8947401igd.0!news-out.google.com!4ni43qgh.1!nntp.google.com!z60no3614863qgd
    .1!postnews.google.com!glegroupsg2000goo.googlegroups.com!not-for-mail
    Newsgroups: pl.misc.elektronika
    Date: Sun, 24 May 2015 14:34:22 -0700 (PDT)
    In-Reply-To: <mjtcrr$aq3$1@node1.news.atman.pl>
    Complaints-To: g...@g...com
    Injection-Info: glegroupsg2000goo.googlegroups.com; posting-host=185.53.155.164;
    posting-account=67yd9woAAAAHUu8VHyA7Js47M98NE3m3
    NNTP-Posting-Host: 185.53.155.164
    References: <8...@g...com>
    <mjtcrr$aq3$1@node1.news.atman.pl>
    User-Agent: G2/1.0
    MIME-Version: 1.0
    Message-ID: <d...@g...com>
    Subject: Re: Jeszcze raz VHDL - problem ze zwięzłym zapisem
    From: s...@g...com
    Injection-Date: Sun, 24 May 2015 21:34:22 +0000
    Content-Type: text/plain; charset=ISO-8859-2
    Content-Transfer-Encoding: quoted-printable
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:681738
    [ ukryj nagłówki ]

    W dniu niedziela, 24 maja 2015 22:38:20 UTC+2 użytkownik Jakub Rakus napisał:
    > On 24.05.2015 02:37, s...@g...com wrote:
    > > Problem jest w zasadzie rozwinięciem poprzedniego wątkaDo rzeczy, układ ma
    obliczać coś takiego: C=|R(0)+...+R(15)-R(16)-....-R(31)|, gdzie C,R(i)-liczby 11
    bitowe bez znaku. R(i) są to kolejne sekcje rejestru posuwnego, w którym na pierwszą
    sekcję jest podawana 11 bitowa liczba "A" (bez znaku). Układ działa poprawnie zarówno
    na symulatorze jak i na żywym organiźmie. Wszystkie operacje +/- zrobiłem krok po
    kroku. A co gdybym miał pierdyljon sekcji rejestru posuwnego?
    > > Jak to zwięźlej zapisać? Kod wygląda tak:
    > <ciach>
    >
    > Można użyć funkcji, która wygeneruje taką sumę dla zadanej liczby
    > sygnałów, w funkcji trzeba by wykorzystać for ... loop. Można też
    > bezpośrednio w procesie użyć for ... loop.
    >

    No więc właśnie tak kombinowałem.
    Pseudokod:

    for i in 0 to 15 loop
    Cs<=Cs+R(i)-R(i+16)
    end loop;

    I wyszedł z tego akumulator :((

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: