eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaJeszcze raz VHDL - problem ze zwięzłym zapisem › Re: Jeszcze raz VHDL - problem ze zwięzłym zapisem
  • Path: news-archive.icm.edu.pl!news.icm.edu.pl!newsfeed.pionier.net.pl!feeder.erje.net
    !1.eu.feeder.erje.net!feeder2.ecngs.de!ecngs!feeder.ecngs.de!81.171.118.61.MISM
    ATCH!peer01.fr7!news.highwinds-media.com!newsfeed.neostrada.pl!unt-exc-01.news.
    neostrada.pl!unt-spo-a-01.news.neostrada.pl!news.neostrada.pl.POSTED!not-for-ma
    il
    From: "J.F." <j...@p...onet.pl>
    Newsgroups: pl.misc.elektronika
    References: <8...@g...com>
    In-Reply-To: <8...@g...com>
    Subject: Re: Jeszcze raz VHDL - problem ze zwięzłym zapisem
    Date: Tue, 26 May 2015 10:36:38 +0200
    MIME-Version: 1.0
    Content-Type: text/plain; format=flowed; charset="iso-8859-2"; reply-type=original
    Content-Transfer-Encoding: 8bit
    X-Priority: 3
    X-MSMail-Priority: Normal
    Importance: Normal
    X-Newsreader: Microsoft Windows Live Mail 16.4.3528.331
    X-MimeOLE: Produced By Microsoft MimeOLE V16.4.3528.331
    Lines: 30
    Message-ID: <55643099$0$8389$65785112@news.neostrada.pl>
    Organization: Telekomunikacja Polska
    NNTP-Posting-Host: 83.30.254.72
    X-Trace: 1432629401 unt-rea-b-01.news.neostrada.pl 8389 83.30.254.72:57481
    X-Complaints-To: a...@n...neostrada.pl
    X-Received-Bytes: 2244
    X-Received-Body-CRC: 2066945891
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:681797
    [ ukryj nagłówki ]

    Użytkownik napisał w wiadomości grup
    >Problem jest w zasadzie rozwinięciem poprzedniego wątkaDo rzeczy,
    > układ ma obliczać coś takiego: C=|R(0)+...+R(15)-R(16)-....-R(31)|,
    > gdzie C,R(i)-liczby 11 bitowe bez znaku.
    > R(i) są to kolejne sekcje rejestru posuwnego, w którym na pierwszą
    > sekcję jest podawana 11 bitowa liczba "A" (bez znaku).
    >Układ działa poprawnie zarówno na symulatorze jak i na żywym
    >organiźmie.
    > Wszystkie operacje +/- zrobiłem krok po kroku. A co gdybym miał
    > pierdyljon sekcji rejestru posuwnego?
    >Jak to zwięźlej zapisać? Kod wygląda tak:

    Czy ja dobrze rozumiem ze mamy rejestr przesuwny o 32 slowach 11
    bitowych,
    co takt zegara slowa wprowadzamy nowa wartosc, reszte przesuwamy, i
    wyliczamy sume pierwszych 16 slow minus suma drugich 16 slow ?

    Pomijajac trudnosci jak to zwiezle w VHDL zapisac ... pomysleliscie
    jak to powinno byc zrealizowane ? Czy zdajecie sie na optymalizator ?
    :-)

    Bo sumator 32 liczb jest dosc kosztowny, ciekawe - kompilatorowi
    pamieci zabraknie przy optymalizacji funkcji, czy zrealizuje
    kaskadowo.
    A im wiecej slow w tym rejestrze, tym ciezsze zadanie.

    To sie w krzemie realizuje inaczej ...

    J.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: