eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaJeszcze raz VHDL - problem ze zwięzłym zapisem › Re: Jeszcze raz VHDL - problem ze zwięzłym zapisem
  • X-Received: by 10.140.37.129 with SMTP id r1mr377682qgr.18.1432709291898; Tue, 26 May
    2015 23:48:11 -0700 (PDT)
    X-Received: by 10.140.37.129 with SMTP id r1mr377682qgr.18.1432709291898; Tue, 26 May
    2015 23:48:11 -0700 (PDT)
    Path: news-archive.icm.edu.pl!news.icm.edu.pl!newsfeed.pionier.net.pl!news.glorb.com!
    z60no4044058qgd.0!news-out.google.com!4ni65qgh.1!nntp.google.com!z60no4042846qg
    d.1!postnews.google.com!glegroupsg2000goo.googlegroups.com!not-for-mail
    Newsgroups: pl.misc.elektronika
    Date: Tue, 26 May 2015 23:48:11 -0700 (PDT)
    In-Reply-To: <55643099$0$8389$65785112@news.neostrada.pl>
    Complaints-To: g...@g...com
    Injection-Info: glegroupsg2000goo.googlegroups.com; posting-host=185.53.155.164;
    posting-account=67yd9woAAAAHUu8VHyA7Js47M98NE3m3
    NNTP-Posting-Host: 185.53.155.164
    References: <8...@g...com>
    <55643099$0$8389$65785112@news.neostrada.pl>
    User-Agent: G2/1.0
    MIME-Version: 1.0
    Message-ID: <b...@g...com>
    Subject: Re: Jeszcze raz VHDL - problem ze zwięzłym zapisem
    From: s...@g...com
    Injection-Date: Wed, 27 May 2015 06:48:11 +0000
    Content-Type: text/plain; charset=ISO-8859-2
    Content-Transfer-Encoding: quoted-printable
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:681844
    [ ukryj nagłówki ]

    W dniu wtorek, 26 maja 2015 10:36:42 UTC+2 użytkownik J.F. napisał:
    > Użytkownik napisał w wiadomości grup
    > >Problem jest w zasadzie rozwinięciem poprzedniego wątkaDo rzeczy,
    > > układ ma obliczać coś takiego: C=|R(0)+...+R(15)-R(16)-....-R(31)|,
    > > gdzie C,R(i)-liczby 11 bitowe bez znaku.
    > > R(i) są to kolejne sekcje rejestru posuwnego, w którym na pierwszą
    > > sekcję jest podawana 11 bitowa liczba "A" (bez znaku).
    > >Układ działa poprawnie zarówno na symulatorze jak i na żywym
    > >organiźmie.
    > > Wszystkie operacje +/- zrobiłem krok po kroku. A co gdybym miał
    > > pierdyljon sekcji rejestru posuwnego?
    > >Jak to zwięźlej zapisać? Kod wygląda tak:
    >
    > Czy ja dobrze rozumiem ze mamy rejestr przesuwny o 32 slowach 11
    > bitowych,
    > co takt zegara slowa wprowadzamy nowa wartosc, reszte przesuwamy, i
    > wyliczamy sume pierwszych 16 slow minus suma drugich 16 slow ?

    Dobrze rozumiesz.

    >
    > Pomijajac trudnosci jak to zwiezle w VHDL zapisac ... pomysleliscie
    > jak to powinno byc zrealizowane ? Czy zdajecie sie na optymalizator ?
    > :-)
    >
    > Bo sumator 32 liczb jest dosc kosztowny, ciekawe - kompilatorowi
    > pamieci zabraknie przy optymalizacji funkcji, czy zrealizuje
    > kaskadowo.
    > A im wiecej slow w tym rejestrze, tym ciezsze zadanie.

    Robię to na spartanie6 (XCS6LX45) i nie ma żadnego problemu z implementacją.

    >
    > To sie w krzemie realizuje inaczej ...
    >
    Jak?

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: