eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaJeszcze raz VHDL - problem ze zwięzłym zapisem › Re: Jeszcze raz VHDL - problem ze zwięzłym zapisem
  • Path: news-archive.icm.edu.pl!agh.edu.pl!news.agh.edu.pl!news.cyf-kr.edu.pl!news.nask
    .pl!news.nask.org.pl!goblin1!goblin.stu.neva.ru!newsfeed.neostrada.pl!unt-exc-0
    1.news.neostrada.pl!unt-spo-b-01.news.neostrada.pl!news.neostrada.pl.POSTED!not
    -for-mail
    From: "J.F." <j...@p...onet.pl>
    Newsgroups: pl.misc.elektronika
    References: <8...@g...com>
    <55643099$0$8389$65785112@news.neostrada.pl>
    <b...@g...com>
    In-Reply-To: <b...@g...com>
    Subject: Re: Jeszcze raz VHDL - problem ze zwięzłym zapisem
    Date: Wed, 27 May 2015 10:40:30 +0200
    MIME-Version: 1.0
    Content-Type: text/plain; format=flowed; charset="iso-8859-2"; reply-type=original
    Content-Transfer-Encoding: 8bit
    X-Priority: 3
    X-MSMail-Priority: Normal
    Importance: Normal
    X-Newsreader: Microsoft Windows Live Mail 16.4.3528.331
    X-MimeOLE: Produced By Microsoft MimeOLE V16.4.3528.331
    Lines: 52
    Message-ID: <55658303$0$27506$65785112@news.neostrada.pl>
    Organization: Telekomunikacja Polska
    NNTP-Posting-Host: 83.30.156.150
    X-Trace: 1432716035 unt-rea-a-02.news.neostrada.pl 27506 83.30.156.150:62833
    X-Complaints-To: a...@n...neostrada.pl
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:681845
    [ ukryj nagłówki ]

    Użytkownik napisał w wiadomości grup
    dyskusyjnych:b145b622-569c-4b81-991a-acb893cd1e3f@go
    oglegroups.com...
    W dniu wtorek, 26 maja 2015 10:36:42 UTC+2 użytkownik J.F. napisał:
    >> Czy ja dobrze rozumiem ze mamy rejestr przesuwny o 32 slowach 11
    >> bitowych,
    >> co takt zegara slowa wprowadzamy nowa wartosc, reszte przesuwamy, i
    >> wyliczamy sume pierwszych 16 slow minus suma drugich 16 slow ?

    >Dobrze rozumiesz.

    >> Pomijajac trudnosci jak to zwiezle w VHDL zapisac ... pomysleliscie
    >> jak to powinno byc zrealizowane ? Czy zdajecie sie na
    >> optymalizator ?
    >> :-)
    >>
    >> Bo sumator 32 liczb jest dosc kosztowny, ciekawe - kompilatorowi
    >> pamieci zabraknie przy optymalizacji funkcji, czy zrealizuje
    >> kaskadowo.
    >> A im wiecej slow w tym rejestrze, tym ciezsze zadanie.

    >Robię to na spartanie6 (XCS6LX45) i nie ma żadnego problemu z
    >implementacją.

    A jest jakas szansa zobaczyc jak to kompilator zrobil ?
    Ewentualnie - ile makrocell mu to zajelo, jakie opoznienia wyszly ?

    >> To sie w krzemie realizuje inaczej ...
    >Jak?

    Pomysl pierwszy - rysunek by sie przydal, ale moze sie uda slowami:
    32 rejestry w szeregu, tylko ze na wejsciu kazdego (z wyjatkiem
    pierwszego) z nich umieszczasz sumator A i wyjscia poprzednego
    rejestru.

    Tym sposobem drugi rejestr widzi R1= A+A(-1), [A(-n) - A opoznione
    o n cykli)
    R2 = A+R1 = A+ A(-1) + A(-2) [bo w miedzyczasie sie o cykl opoznilo]
    itd.
    Gdzies w polowie musisz wstawic roznice A-R(15)


    Pomysl drugi - jesli jest zwykla suma, to ona co cykl zmienia sie
    tylko o nowy wyraz, ktory wchodzi do sumy, i ostatni, ktory wypada.
    Czyli wystarczy jeden akumulator S, w ktorym co cykl liczymy
    S = S +A - A(-31)
    Oczywiscie na poczatku trzeba wyzerowac i S i wszystkie rejestry R,
    aby byla zgodnosc :-).

    Ty masz roznice dwoch sum, to sie wyrazenie nieznacznie skomplikuje.

    J.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: