eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaJeszcze raz VHDL - problem ze zwięzłym zapisem › Re: Jeszcze raz VHDL - problem ze zwięzłym zapisem
  • X-Received: by 10.140.36.234 with SMTP id p97mr165046qgp.28.1432720521019; Wed, 27
    May 2015 02:55:21 -0700 (PDT)
    X-Received: by 10.140.36.234 with SMTP id p97mr165046qgp.28.1432720521019; Wed, 27
    May 2015 02:55:21 -0700 (PDT)
    Path: news-archive.icm.edu.pl!news.icm.edu.pl!newsfeed.pionier.net.pl!news.glorb.com!
    m20no67717iga.0!news-out.google.com!k20ni44957qgd.0!nntp.google.com!z60no406950
    4qgd.1!postnews.google.com!glegroupsg2000goo.googlegroups.com!not-for-mail
    Newsgroups: pl.misc.elektronika
    Date: Wed, 27 May 2015 02:55:20 -0700 (PDT)
    In-Reply-To: <55658303$0$27506$65785112@news.neostrada.pl>
    Complaints-To: g...@g...com
    Injection-Info: glegroupsg2000goo.googlegroups.com; posting-host=185.53.155.164;
    posting-account=67yd9woAAAAHUu8VHyA7Js47M98NE3m3
    NNTP-Posting-Host: 185.53.155.164
    References: <8...@g...com>
    <55643099$0$8389$65785112@news.neostrada.pl>
    <b...@g...com>
    <55658303$0$27506$65785112@news.neostrada.pl>
    User-Agent: G2/1.0
    MIME-Version: 1.0
    Message-ID: <7...@g...com>
    Subject: Re: Jeszcze raz VHDL - problem ze zwięzłym zapisem
    From: s...@g...com
    Injection-Date: Wed, 27 May 2015 09:55:21 +0000
    Content-Type: text/plain; charset=ISO-8859-2
    Content-Transfer-Encoding: quoted-printable
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:681851
    [ ukryj nagłówki ]

    W dniu środa, 27 maja 2015 10:40:37 UTC+2 użytkownik J.F. napisał:

    >
    > A jest jakas szansa zobaczyc jak to kompilator zrobil ?
    > Ewentualnie - ile makrocell mu to zajelo, jakie opoznienia wyszly ?

    Można to zobaczyć na edytorze wyroutowanego układu, ale analizę tego pozostawiam
    raczej mnichom z Shaolin, albo tym co mają czasu w nadmiarze.
    Makrocele to masz w CPLD. Ja robię na FPGA raczej dosyć małym, ale i tak ilość
    zasobów logicznych jest taka, że ten konkretny problem zajmuje kompletnie nieistotny
    procent. W ogóle o tym nawet nie myślę. Opóźnienia jak najbardziej możesz
    monitorować, ewentualnie narzucić swoje constraintsy. Ja tego nawet nie robię,
    zostawiam to kompilatorowi "as is", układ działa poprawnie na 20MHz.

    >
    > >> To sie w krzemie realizuje inaczej ...
    > >Jak?
    >
    > Pomysl pierwszy - rysunek by sie przydal, ale moze sie uda slowami:
    > 32 rejestry w szeregu, tylko ze na wejsciu kazdego (z wyjatkiem
    > pierwszego) z nich umieszczasz sumator A i wyjscia poprzednego
    > rejestru.
    >
    > Tym sposobem drugi rejestr widzi R1= A+A(-1), [A(-n) - A opoznione
    > o n cykli)
    > R2 = A+R1 = A+ A(-1) + A(-2) [bo w miedzyczasie sie o cykl opoznilo]
    > itd.
    > Gdzies w polowie musisz wstawic roznice A-R(15)
    >

    Rozumiem, że coś w tym stylu:

    http://www.fotoszok.pl/show.php/2420352_sumator.jpg.
    html

    >
    > Pomysl drugi - jesli jest zwykla suma, to ona co cykl zmienia sie
    > tylko o nowy wyraz, ktory wchodzi do sumy, i ostatni, ktory wypada.
    > Czyli wystarczy jeden akumulator S, w ktorym co cykl liczymy
    > S = S +A - A(-31)
    > Oczywiscie na poczatku trzeba wyzerowac i S i wszystkie rejestry R,
    > aby byla zgodnosc :-).


    >
    > Ty masz roznice dwoch sum, to sie wyrazenie nieznacznie skomplikuje.
    >

    Jasne, można i tak i owak, niemniej jednak nie dostrzegam żadnych zalet w stosunku do
    przyjętego rozwiązania.

    Pzdr.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: