eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaVHDL - PROCESS › Re: VHDL - PROCESS
  • Path: news-archive.icm.edu.pl!agh.edu.pl!news.agh.edu.pl!newsfeed2.atman.pl!newsfeed.
    atman.pl!news.nask.pl!news.nask.org.pl!news.unit0.net!newsreader4.netcologne.de
    !news.netcologne.de!nx02.iad01.newshosting.com!newshosting.com!newsfeed.neostra
    da.pl!unt-exc-02.news.neostrada.pl!unt-spo-a-01.news.neostrada.pl!news.neostrad
    a.pl.POSTED!not-for-mail
    Date: Thu, 24 Apr 2014 14:55:07 +0200
    From: Adam Górski <gorskiamalpa@wpkropkapl>
    User-Agent: Mozilla/5.0 (Windows NT 5.1; rv:24.0) Gecko/20100101 Thunderbird/24.4.0
    MIME-Version: 1.0
    Newsgroups: pl.misc.elektronika
    Subject: Re: VHDL - PROCESS
    References: <f...@g...com>
    <lj3mps$r2d$1@node1.news.atman.pl>
    <5358e985$0$2231$65785112@news.neostrada.pl>
    <535905b3$0$2229$65785112@news.neostrada.pl>
    In-Reply-To: <535905b3$0$2229$65785112@news.neostrada.pl>
    Content-Type: text/plain; charset=UTF-8; format=flowed
    Content-Transfer-Encoding: 8bit
    Lines: 31
    Message-ID: <535909ab$0$2149$65785112@news.neostrada.pl>
    Organization: Telekomunikacja Polska
    NNTP-Posting-Host: 79.190.250.106
    X-Trace: 1398344107 unt-rea-a-02.news.neostrada.pl 2149 79.190.250.106:1894
    X-Complaints-To: a...@n...neostrada.pl
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:663857
    [ ukryj nagłówki ]

    W dniu 2014-04-24 14:38, nowyr pisze:
    >
    > "Adam Górski" <gorskiamalpa@wpkropkapl> wrote in message
    > news:5358e985$0$2231$65785112@news.neostrada.pl...
    >
    >>> Gdzieś czytałem ostatnio także o innej ciekawej kwestii dotyczącej
    >>> procesu - mniej więcej chodzi o to, że słowo kluczowe "process" oraz
    >>> podawana wraz z nim lista czułości jest ważna właściwie tylko dla
    >>> zwiększenia czytelności kodu oraz przy vhdl-u używanym dla symulacji.
    >>> Przy syntezie do docelowego układu kompilator zwraca uwagę głównie na
    >>> zależności logiczne między sygnałami, czyli w tym przypadku na if
    >>> CLK'event and CLK='1' then.
    >>>
    >>
    >> Faktycznie największą rolę odgrywa w symulacji.
    >> Należy pamiętać że bardzo łatwo jest napisać fragment niesyntezowalny,
    >> czyli taki którego nie da się skompilować na 99% dostępnych układów.
    >
    > Lista czułości VHDL obejmuje zegary i to co przed nimi.jako input do
    > procesu
    >
    > U12:process(clk, reset)
    > if reset='0' then
    > x <= '0';
    > elseif clk'event and clk='1' then
    > ......

    Lista czułości VHDL definiuje przy zmianach jakich sygnałów proces
    powinien zostać wznowiony.

    Adam

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: