eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaPower on reset w CPLD › Power on reset w CPLD
  • Data: 2020-10-27 18:24:37
    Temat: Power on reset w CPLD
    Od: Atlantis <m...@w...pl> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    Zaczynam się ostatnio bawić układami CPLD. Przebijam się właśnie przez
    podręcznik VHDL-a i zaczłem projektować płytkę do projektu, który będzie
    wykorzystywał taki układ programowalny. Postawiłem na mający już parę
    lat układ Xilinx CoolRunner II XC2C256.

    W projekcie będę potrzebował możliwości automatycznego ustawienia
    początkowego stanu rejestrów po włączeniu zasilania. Czyli mam
    zewnętrzny pin RST, do którego podpinam wejścia RST wszystkich
    komponentów zaimplementowanych w VHDL-u. Oczywiście wolałbym uniknąć
    ręcznego wciskania przycisku po każdym podłączeniu zasilania. Stąd pytanie:

    W tych układach jest zaimplementowany jakiś wewnętrzny mechanizm czy
    powinienem użyć jakiegoś zewnętrznego scalaka, choćby DS1818?

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: