eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaPower on reset w CPLD › Re: Power on reset w CPLD
  • Data: 2020-10-27 20:09:10
    Temat: Re: Power on reset w CPLD
    Od: Zbych <a...@o...pl> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    Atlantis wrote on 27.10.2020 18:24:
    > Zaczynam się ostatnio bawić układami CPLD. Przebijam się właśnie przez
    > podręcznik VHDL-a i zaczłem projektować płytkę do projektu, który będzie
    > wykorzystywał taki układ programowalny. Postawiłem na mający już parę
    > lat układ Xilinx CoolRunner II XC2C256.
    >
    > W projekcie będę potrzebował możliwości automatycznego ustawienia
    > początkowego stanu rejestrów po włączeniu zasilania. Czyli mam
    > zewnętrzny pin RST, do którego podpinam wejścia RST wszystkich
    > komponentów zaimplementowanych w VHDL-u. Oczywiście wolałbym uniknąć
    > ręcznego wciskania przycisku po każdym podłączeniu zasilania. Stąd pytanie:
    >
    > W tych układach jest zaimplementowany jakiś wewnętrzny mechanizm czy
    > powinienem użyć jakiegoś zewnętrznego scalaka, choćby DS1818?

    https://www.google.com/search?q=XC2C256+power+on+res
    et

    Macrocell registers can be individually configured to power up to the
    zero or one state.



Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: