eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika › Power on reset w CPLD
Ilość wypowiedzi w tym wątku: 4

  • 1. Data: 2020-10-27 18:24:37
    Temat: Power on reset w CPLD
    Od: Atlantis <m...@w...pl>

    Zaczynam się ostatnio bawić układami CPLD. Przebijam się właśnie przez
    podręcznik VHDL-a i zaczłem projektować płytkę do projektu, który będzie
    wykorzystywał taki układ programowalny. Postawiłem na mający już parę
    lat układ Xilinx CoolRunner II XC2C256.

    W projekcie będę potrzebował możliwości automatycznego ustawienia
    początkowego stanu rejestrów po włączeniu zasilania. Czyli mam
    zewnętrzny pin RST, do którego podpinam wejścia RST wszystkich
    komponentów zaimplementowanych w VHDL-u. Oczywiście wolałbym uniknąć
    ręcznego wciskania przycisku po każdym podłączeniu zasilania. Stąd pytanie:

    W tych układach jest zaimplementowany jakiś wewnętrzny mechanizm czy
    powinienem użyć jakiegoś zewnętrznego scalaka, choćby DS1818?


  • 2. Data: 2020-10-27 20:09:10
    Temat: Re: Power on reset w CPLD
    Od: Zbych <a...@o...pl>

    Atlantis wrote on 27.10.2020 18:24:
    > Zaczynam się ostatnio bawić układami CPLD. Przebijam się właśnie przez
    > podręcznik VHDL-a i zaczłem projektować płytkę do projektu, który będzie
    > wykorzystywał taki układ programowalny. Postawiłem na mający już parę
    > lat układ Xilinx CoolRunner II XC2C256.
    >
    > W projekcie będę potrzebował możliwości automatycznego ustawienia
    > początkowego stanu rejestrów po włączeniu zasilania. Czyli mam
    > zewnętrzny pin RST, do którego podpinam wejścia RST wszystkich
    > komponentów zaimplementowanych w VHDL-u. Oczywiście wolałbym uniknąć
    > ręcznego wciskania przycisku po każdym podłączeniu zasilania. Stąd pytanie:
    >
    > W tych układach jest zaimplementowany jakiś wewnętrzny mechanizm czy
    > powinienem użyć jakiegoś zewnętrznego scalaka, choćby DS1818?

    https://www.google.com/search?q=XC2C256+power+on+res
    et

    Macrocell registers can be individually configured to power up to the
    zero or one state.




  • 3. Data: 2020-10-28 16:22:26
    Temat: Re: Power on reset w CPLD
    Od: Atlantis <m...@w...pl>

    On 27.10.2020 20:09, Zbych wrote:

    > Macrocell registers can be individually configured to power up to the
    > zero or one state.

    Dzięki.
    Jeszcze jedno pytanie. Widzę, że ten układ ma kilka pinów oznaczonych
    jako "global clock" oraz jeden "global set/reset". Jaka jest im funkcja?
    Czym się różni global reset od użycia dowolnego innego pinu do
    ustawiania domyślnego stanu rejestru? Albo czym się różni "global clock"
    od użycia dowolnego innego wejścia w bloku process?


  • 4. Data: 2020-10-28 17:07:37
    Temat: Re: Power on reset w CPLD
    Od: Zbych <a...@o...pl>

    On 28.10.2020 16:22, Atlantis wrote:
    > On 27.10.2020 20:09, Zbych wrote:
    >
    >> Macrocell registers can be individually configured to power up to the
    >> zero or one state.
    >
    > Dzięki.
    > Jeszcze jedno pytanie. Widzę, że ten układ ma kilka pinów oznaczonych
    > jako "global clock" oraz jeden "global set/reset". Jaka jest im funkcja?
    > Czym się różni global reset od użycia dowolnego innego pinu do
    > ustawiania domyślnego stanu rejestru? Albo czym się różni "global clock"
    > od użycia dowolnego innego wejścia w bloku process?

    Linie global są rozprowadzone po całym CPLD i czas propagacji do każdej
    komórki jest taki sam.

strony : [ 1 ]


Szukaj w grupach

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: