eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaPower on reset w CPLD › Re: Power on reset w CPLD
  • Path: news-archive.icm.edu.pl!news.icm.edu.pl!newsfeed.pionier.net.pl!news.samoylyk.n
    et!aioe.org!peer03.ams4!peer.am4.highwinds-media.com!news.highwinds-media.com!n
    ewsfeed.neostrada.pl!unt-exc-01.news.neostrada.pl!unt-spo-a-02.news.neostrada.p
    l!news.neostrada.pl.POSTED!not-for-mail
    Subject: Re: Power on reset w CPLD
    Newsgroups: pl.misc.elektronika
    References: <5f9857d5$0$503$65785112@news.neostrada.pl>
    From: Zbych <a...@o...pl>
    Date: Tue, 27 Oct 2020 20:09:10 +0100
    User-Agent: Experimental news reader based on atomic-powered harvester v. 0.1
    MIME-Version: 1.0
    In-Reply-To: <5f9857d5$0$503$65785112@news.neostrada.pl>
    Content-Type: text/plain; charset=utf-8; format=flowed
    Content-Language: en-US
    Content-Transfer-Encoding: 8bit
    Lines: 22
    Message-ID: <5f987056$0$31099$65785112@news.neostrada.pl>
    Organization: Telekomunikacja Polska
    NNTP-Posting-Host: 176.221.123.28
    X-Trace: 1603825750 unt-rea-b-01.news.neostrada.pl 31099 176.221.123.28:34411
    X-Complaints-To: a...@n...neostrada.pl
    X-Received-Bytes: 1868
    X-Received-Body-CRC: 4276131663
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:758512
    [ ukryj nagłówki ]

    Atlantis wrote on 27.10.2020 18:24:
    > Zaczynam się ostatnio bawić układami CPLD. Przebijam się właśnie przez
    > podręcznik VHDL-a i zaczłem projektować płytkę do projektu, który będzie
    > wykorzystywał taki układ programowalny. Postawiłem na mający już parę
    > lat układ Xilinx CoolRunner II XC2C256.
    >
    > W projekcie będę potrzebował możliwości automatycznego ustawienia
    > początkowego stanu rejestrów po włączeniu zasilania. Czyli mam
    > zewnętrzny pin RST, do którego podpinam wejścia RST wszystkich
    > komponentów zaimplementowanych w VHDL-u. Oczywiście wolałbym uniknąć
    > ręcznego wciskania przycisku po każdym podłączeniu zasilania. Stąd pytanie:
    >
    > W tych układach jest zaimplementowany jakiś wewnętrzny mechanizm czy
    > powinienem użyć jakiegoś zewnętrznego scalaka, choćby DS1818?

    https://www.google.com/search?q=XC2C256+power+on+res
    et

    Macrocell registers can be individually configured to power up to the
    zero or one state.



Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: