eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaProcesor za -10 złotych. :) › Re: Procesor za -10 złotych. :)
  • Data: 2021-04-30 10:43:57
    Temat: Re: Procesor za -10 złotych. :)
    Od: heby <h...@p...onet.pl> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    On 30/04/2021 10:08, J.F wrote:
    > Zastapienie moze nie byc takie latwe, jesli to jakis odporniejszy
    > procesor, mozna gdzies wyczytac ze "formally verified", i jeszcze
    > sprawdzony przez 25 lat ... chcesz cos nowoczesnego wstawic?

    Mamy całkiem sensowne procesory współczesne do cieżkich zadań, których
    programowanie jest "normalne" i nie ma problemu ze znalezieniem
    programistów.

    Do 8051 cieżko znaleźc programistę który ogarnie go i jednoczesnie ma
    pojęcie o jakości, procedurach, współczesnych technikach pisania z
    naciskiem na jakość. To są biegunowo odległe grupy.

    Ale do LEONa nie powinno być kłopotu. Niczym się to nie różni od innych
    CPU na których obecnie jedziemy w normalnym programowaniu. Za chwile
    wszędzie będzie wciskany RISC-V, któy też jest zupełnie normalny. Nawet
    w FPGA go będą wciskać.

    >> Audytorzy nie ogarniają dużego kodu, będą się skupiać na metodyce pracy
    >> a nie na tym że przeżytnik ma niepodpięty reset. Kod nie jest pokryty
    >> testami w 100%, a jeśli nawet jest w znacznej częsci pokryty, to nie
    >> wiadomo kto testuje te testy itd...
    > Albo ... Boeing wynajal zewnetrzna firme, co to niby ma doswiadczenie,
    > ale zatrudnia tanich nastolatkow :-)

    Na końcu zawsze są hindusi.

    Taka uwaga: nie abym tak uważał, ale mam wrażenie że na stare lata będę
    tak uważał: to nie programiści decydują o jakości, tylko procedury i
    testowanie. Z tego wynika pewna higiena pisania kodu, oczywiscie, ale to
    czy zatrudnisz studenta czy profesjonalistę powinno wpływać na czas i
    koszta, ale na jakość, raczej nie. Utopijnie: jakość software powinna
    być determinowana przez kontrolę jakości a czas pisania przez poziom
    programisty.

    > Brodate Henki mogly sie akurat na make wychowac.

    Brodate Zygumnty, programiści, tak.

    Hanryki od rysowania bramek, nie. Oni dalej je rysują, tylko w kodzie.
    Niewiele się zmieniło. Mają bardzo podobne narzędzia, tylko robiąto
    odrobinę inaczej (i nadal jest pełno Heńków którzy rysują sieci bramek z
    translacją do kodu)

    Warto wspomnieć że SystemVerilog wprowadził jakiś czas temu
    programowanie obiektowe. I nie w celu syntezy, tylko testowania. Była
    niewyobrażalna gównoburza że ktoś Heńkom bezczelnie kazał nagle nauczyć
    się czegoś nowego. W efekcie powstało pełno narzędzi które chowają to
    obiektowe coś pod interfejsem graficznym gdzie można sobie wyklikać to i
    owo. I oczywiscie można sobie źle wyklikac, trudno klikac jak się nie ma
    pojęcia, w co sie klika. Efekt: no i co z tego że powstało zaawansowane
    narzędzie, skoro sprowadzono je do gryzaka dla niemowlaka.

    > Pozniejsze pokolenie nie zna ..

    Odwrotnie, współczesne pokolenie zna doskonale. Nawet jeśli nie make, to
    koncepcje za nim stojące. Korzysta z tego bardzo dużo języków. Tylko że
    to mowa o programistach. W EDA też jest programowanie, ale robione przez
    elektroników. I tutaj jest podstawowy problem. Elektronicy od rysowania
    bramek nagle muszą ogarniać problemy typowego programisty. I ogarniają
    na swój sposób.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: