eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika › Nauka programowania FPGA
Ilość wypowiedzi w tym wątku: 108

  • 91. Data: 2018-02-13 19:05:26
    Temat: Re: Nauka programowania FPGA
    Od: Sebastian Biały <h...@p...onet.pl>

    On 2/13/2018 10:45 AM, Piotr Dmochowski wrote:
    > Dzięki za wcześniejszą odpowiedź, ale jeszcze pomęczę bo mam niedosyt.
    > Z tego co napisałeś to systemy EDA mają świetną kontrolę na poziomie
    > wykonywania podstawowych cegiełek, domyślam się też że składanie
    > cegiełek w większe moduły też jest jakoś opanowane.

    Wszedzie testy. Wielopoziomowe. Ale nie stać Cie na software do tego.
    Ba, założe nawet że nie znajdziesz nigdzie wersji demo. Ten software
    jest zbyt drogi aby byl powszechnie dostepny (w tym dla konkurencji, serio).

    > Z tego co piszesz to w projektowaniu cegiełek używa się tylko kodu, nie
    > ma żadnych schematów graficznych.

    Oczywiście że są. Jeśli masz firme Staśków ciężko z nich zrezygnować.
    Ale uzywanie narzędzi do rysowania schematów powoduje że nie możesz
    stosować wielu technik programowania i weryfikacji przeznaczonych do
    utrzymywania jakości i ułatwienia pisania. Rownież praca w grupie na
    schematach to kiepski żart. Dlatego jesli chcesz to robić wydajniej
    schematy są bez sensu.

    > Pozostaje dla mnie kwestia jak dochodzi do stworzenia wymagań dla
    > cegiełek i definicji ich powiązań między sobą. Czy ten proces tez
    > zachodzi w jakimś kodzie bez nawet jednego rysunku blokowego na etapie
    > wstępnego projektowania?

    Jest w specyfikacji, w zalezności od tego kto zamawia i u kogo
    specyfikacjie potrafią być szczegółowe na poziomie *napisanych* testów
    przez zamawiającego. To ile swobody implementacyjnej dostaniesz bywa
    trudne do okreslenia. Warto wiedzieć że w świecie EDA powszechne jest
    *kryptowanie* kodu pomiedzy firmami. Firma X pisze jakiś soft i w formie
    zaszyfrowanej pozwala uzywać firmie Y. Jedyne co Y wie to opis
    funkcjonalny, czasem jakiś zestaw testów emulujących zachowanie i tyle.
    Więc jest to temat trudny do skrystalizowania w jednej odpowiedzi.

    > A co by było jakby 10 lat temu był format schematu który można łatwo
    > podzielić na części i łatwo wersjonować?

    Nigdy nie dalo się łatwo wersjonować, szukać różnic, szukać blame,
    mergować. Schematy nie nadają się do systemów kontroli wersji. Dokładnie
    do tych samych wniosków dochodza np. "programiści" LabView. Prezentacja
    graficzna algorytmu zawiera za duzo zbednych metainformacji ktore
    uniemożliwiają wydajna prace w grupie.

    > Zastanawiam się czy całkowita rezygnacja z wersji graficznej układu nie
    > jest jakimś upośledzeniem w pracy projektantów, czy faktycznie człowiek
    > może zrezygnować z języka graficznego i zmienić się w interpretator
    > plików tekstowych.

    Ponieważ ma to zalety. Trzeba poświęcić przyzwyczajenia i dostac do reki
    dużo narzedzi które nie mają sensu na schematach (coverage, lint,
    asercje, kontrola wersji, merge itp itd).

    > Pytanie co się dzieje jak spotka się grupa projektantów i mają coś do
    > omówienia - czy na tablicy piszą instrukcje czy jednak malują prostokąty
    > i kreski?

    Obecnie rozmawiają w języku wzorców projektowych, rysuja schematy
    blokowe. Identycznie jak programisci software ktorzy tez pisza kod,
    często bardzo podobny.


  • 92. Data: 2018-02-13 20:59:57
    Temat: Re: Nauka programowania FPGA
    Od: Marek <f...@f...com>

    On Tue, 13 Feb 2018 18:55:28 +0100, Sebastian
    Biały<h...@p...onet.pl> wrote:
    > To jedno z drugim ma związek bezpośredni, chyba że mówisz o
    > zamówieniach
    > rządowych. W firmie komercyjnej inwerstujesz po to by osiagnąc
    > zysk.

    Taa, znam kilka spółek technologicznych, które chwalą się
    inwestycjami w niewiadomo jakie technolgiie ale prawda jest takie że
    nic z tego co zrobiły nie są w stanie sprzedać. Taki ten bezpośredni
    związek. Wcale jedno nie gwarantuje drugiego.

    > Poniewaz mało która firma soft i hard prowadzona przez Staśka ma w
    > ogóle
    > pojecie jak robić lepiej. A nawet jak ma to lepiej oznacza drożej.
    > Siedza wiec w małych niszach i dłubią swoje tandety wyszlifowane do
    > perfekcji.

    Ale co masz do tych Staśków, to że tworzą własne biznesy zamiast
    pracować dla kogoś?
    Większość "firm Staśków" mimo zarzutu jaki wznosisz jednak świetnie
    sobie radzi w tej niszy, a klienci których obsługują są zadowoleni.
    Dla klienta nie ma znaczenie czy sterownik jest na '51 czy na armii
    jeśli spełnia oczekiwania funkcjonalne.
    Przyszłość technologi to wcale nie muszą być corpo, przyszłością mogą
    być Ci Staśkowie, bo tylko w ich skali biznesu będzie się opłacać
    robić tanio, trwale i dobrze.

    --
    Marek


  • 93. Data: 2018-02-13 21:12:53
    Temat: Re: Nauka programowania FPGA
    Od: jacek pozniak <j...@f...pl>

    >
    > Ale co masz do tych Staśków, to że tworzą własne biznesy zamiast
    > pracować dla kogoś?
    > Większość "firm Staśków" mimo zarzutu jaki wznosisz jednak świetnie
    > sobie radzi w tej niszy, a klienci których obsługują są zadowoleni.
    > Dla klienta nie ma znaczenie czy sterownik jest na '51 czy na armii
    > jeśli spełnia oczekiwania funkcjonalne.
    Dokładnie.

    > Przyszłość technologi to wcale nie muszą być corpo, przyszłością mogą
    > być Ci Staśkowie, bo tylko w ich skali biznesu będzie się opłacać
    > robić tanio, trwale i dobrze.
    >
    Też się często nad tym zastanawiam.

    Niby korpo ma teoretycznie wielkie możliwości ale na skutek kulejącego
    zarządzania oraz zatrudniania armii niezbyt przydatnych ludzi, nie jest w
    stanie tych możliwości wykorzystać. Po prostu przejada pieniadze (na różne
    narzędzia również, które później leżą na półce).
    Ale to taka cech każdej większej organizacji; po przekroczeniu pewnego
    poziomu staje się urzędem. :)

    jp

    --

    www.flowservice.pl
    www.flowsystem.pl


  • 94. Data: 2018-02-13 21:27:41
    Temat: Re: Nauka programowania FPGA
    Od: Sebastian Biały <h...@p...onet.pl>

    On 2/13/2018 8:59 PM, Marek wrote:
    >> To jedno z drugim ma związek bezpośredni, chyba że mówisz o
    >> zamówieniach rządowych. W firmie komercyjnej inwerstujesz po to by
    >> osiagnąc zysk.
    > Taa, znam kilka spółek technologicznych, które chwalą się inwestycjami w
    > niewiadomo jakie technolgiie ale prawda jest takie że nic z tego co
    > zrobiły nie są w stanie sprzedać. Taki ten bezpośredni związek. Wcale
    > jedno nie gwarantuje drugiego.

    Więc zaciągają kredyt. Padną mimo wszystko. Są firmy rozwijające swoje
    produktry soft/hard przez dziesięciolecia. Gdyby pracowały w nich same
    Staski padły by bardzo szybko bo sług technologiczny jest na bardzo
    wysoki procent.

    >> Poniewaz mało która firma soft i hard prowadzona przez Staśka ma w
    >> ogóle pojecie jak robić lepiej. A nawet jak ma to lepiej oznacza
    >> drożej. Siedza wiec w małych niszach i dłubią swoje tandety
    >> wyszlifowane do perfekcji.
    > Ale co masz do tych Staśków, to że tworzą własne  biznesy  zamiast
    > pracować dla kogoś?

    Nie tworza trendów o których mowa w tej dyskusji. Staski mają zostać.

    > Większość "firm Staśków" mimo zarzutu jaki wznosisz
    > jednak świetnie sobie radzi w tej niszy, a klienci których obsługują są
    > zadowoleni. Dla klienta nie ma znaczenie czy sterownik jest na '51 czy
    > na armii jeśli spełnia oczekiwania funkcjonalne.

    Nie. Czasem ma znaczenie. Na tym polega współpraca z duzym
    zleceniowacom. Czasem wie doskonale czego chce.

    > Przyszłość technologi to wcale nie muszą być corpo, przyszłością mogą
    > być Ci Staśkowie, bo tylko w ich skali biznesu będzie się opłacać robić
    > tanio, trwale i dobrze.

    Nie obserwuje tego na rynku software ani hardware. Zazwyczaj Staski
    robią tanio, kiepso i troche działające.


  • 95. Data: 2018-02-13 21:32:20
    Temat: Re: Nauka programowania FPGA
    Od: Sebastian Biały <h...@p...onet.pl>

    On 2/13/2018 9:27 PM, Sebastian Biały wrote:
    > sług

    dług

    > zleceniowacom.

    ą


  • 96. Data: 2018-02-13 22:38:39
    Temat: Re: Nauka programowania FPGA
    Od: Marek <f...@f...com>

    On Tue, 13 Feb 2018 21:27:41 +0100, Sebastian
    Biały<h...@p...onet.pl> wrote:
    > zleceniowacom. Czasem wie doskonale czego chce.

    Bardzo często jest tak, że to "wie czego chce" okazuje się wpojoną
    kiedyś w przeszłości przez jakiegoś niekompetentnego pracownika,
    nieuzasadnioną bzdurą. "To czego chce" staje się mantrą powtarzaną
    latami w np. wymaganiach do przetargu. Oczywiście ci, co chcą wygrać
    w tych zawodach przetargowyvh muszą później dzielnie"rozwiązywać
    problemy nieistniejące w innych systemach", bo tak.

    --
    Marek


  • 97. Data: 2018-02-14 05:37:57
    Temat: Re: Nauka programowania FPGA
    Od: s...@g...com

    W dniu sobota, 10 lutego 2018 14:24:16 UTC+1 użytkownik Sebastian Biały napisał:

    >
    > Czyli skala migania diodą. A więc nic nie rozumiesz z tego gdzie obenie
    > jest rynek EDA.
    >
    > > Co to robi?
    >
    > Nikt tego nie wie.
    >

    ?? Jak napiszesz w HDL'u strukturalnie, to kazdy bedzie wiedział!! Jaka jest rórnica
    pomiedzy HDL'em strukturalnym, a schematem? Ano żadna, zamiast rysunku piszesz
    "podłącz wyjście U1-a do wejścia U2-a"..

    > Po pierwsze nie masz specyfikacji i śledzenia wymogów.
    >
    > Po drugie nie masz unit testów bądzie jakichkolwiek innych testów
    > pozwalających okresli co to NAPRAWDĘ robi.

    Boszsz.. Ty naprawdę robisz w jakiejś korporacji?!

    Tak wam łby wyprano?! Ty nawet bredzisz takie głupoty jak, cyt.:

    "Nie jest łatwo. Ale układy FPGA poganiane sa napięciami niskimi, np. 1.8V. Ciezko
    to z czymkolwiek połaczyć"

    BZDURY^N !! Nie słuchać niedouka !!

    Toż to 'elementarny elementarz' FPGA, o którym tzw. wymogi, testy, i diabły wiedzą
    co, nie mają pojęcia. Nawet nie wiedzą co robią.

    A Ty.., nawet nie wiesz jaką bateryjkę podpiąć, cobyś wiedział jak to działa.. Jestem
    tego PEWIEN !!


  • 98. Data: 2018-02-14 05:56:59
    Temat: Re: Nauka programowania FPGA
    Od: s...@g...com

    W dniu sobota, 10 lutego 2018 14:24:16 UTC+1 użytkownik Sebastian Biały napisał:

    > > Zeptera są ze stali szlachetnej :))
    >
    > Bredzisz już od rzeczy. To w sumie smutne patrzeć na to jak ktoś swoją
    > niewiedzę i archaiczne zachowania stara się wypromować jako zalety. Nie
    > masz pojecia o rynku EDA.

    W Bata cięcie, też zajęcie.. Wuj tam z rynkiem.. Tutaj mamy grupę elektroniczną.
    Dalej uważasz, że z wszelkimi moimi niewiedzami i brakiem pojęcia, miganiem diodami,
    naciskaniem guzików, itp.. , nie da się podłączyć FPGA do świata zewnętrznego? Z 1.8V
    ?! Cieńki bolek... Żałosne!!


  • 99. Data: 2018-02-14 18:14:43
    Temat: Re: Nauka programowania FPGA
    Od: Sebastian Biały <h...@p...onet.pl>

    On 2/13/2018 10:38 PM, Marek wrote:
    >> zleceniowacom. Czasem wie doskonale czego chce.
    > Bardzo często jest tak, że to "wie czego chce" okazuje się wpojoną
    > kiedyś w przeszłości przez jakiegoś niekompetentnego  pracownika,
    > nieuzasadnioną bzdurą. "To czego chce" staje się mantrą powtarzaną
    > latami w np.  wymaganiach do przetargu. Oczywiście ci, co chcą wygrać w
    > tych zawodach przetargowyvh muszą później dzielnie"rozwiązywać problemy
    > nieistniejące w innych systemach", bo tak.

    Mówiąc o przetragach masz na mysli wlasne doświadczenia z projektami dla
    rzadu. Nie, nie mowie o takich, tam jest ogromna ilośc patologii. Powiem
    tak: zleceniodawca wojskowy, lotniczy etc zazwyczaj wie doskonale czego
    chce. Przychodzi z *dokladnie* wyszczególniona metodyka testowania, do
    stopnia gdzie okresla minimalne akceptowalne poziomy coverage testów
    hardware. Masz inne doświadczenia. W duzych firmach z EDA nie ma juz od
    bardzo dawno miejsca na fuszerkę. Oczywiście mozna to nie akceptowac,
    zalamywać ece i przytaczać problemy z przetargami przy produkcji
    nastepnego systemu głosowania do Semju. To nie ta skala i nie ci ludzie.


  • 100. Data: 2018-02-14 18:20:01
    Temat: Re: Nauka programowania FPGA
    Od: Marek <f...@f...com>

    On Wed, 14 Feb 2018 18:14:43 +0100, Sebastian
    Biały<h...@p...onet.pl> wrote:
    > Mówiąc o przetragach masz na mysli wlasne doświadczenia z
    > projektami dla
    > rzadu.


    Nigdy w życiu. Mam na myśli największe_prywatne_ instytucje
    finansowe w pl.

    --
    Marek

strony : 1 ... 9 . [ 10 ] . 11


Szukaj w grupach

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: