eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika › Nauka programowania FPGA
Ilość wypowiedzi w tym wątku: 108

  • 81. Data: 2018-02-12 11:46:34
    Temat: Re: Nauka programowania FPGA
    Od: Piotr Gałka <p...@c...pl>

    W dniu 2018-02-11 o 20:03, Sebastian Biały pisze:
    > Ten chłam jest w hip bo suweren
    > kupuje. Może do mieszania herbaty.

    Ja tam byłem szczęśliwy, jak w Praktikerze udało mi się kupić zestaw 3
    wierteł widiowych 8,10,12 długości 30cm za 9,99, czy 11,99.

    Dotychczas wykonałem za ich pomocą jeden otwór na wylot przez ścianę i
    na razie nie zanosi się abym jeszcze kiedyś ich potrzebował :).
    P.G.


  • 82. Data: 2018-02-12 15:49:58
    Temat: Re: Nauka programowania FPGA
    Od: Marek <f...@f...com>

    On Mon, 12 Feb 2018 11:46:34 +0100, Piotr
    Gałka<p...@c...pl> wrote:
    > Dotychczas wykonałem za ich pomocą jeden otwór na wylot przez
    > ścianę i
    > na razie nie zanosi się abym jeszcze kiedyś ich potrzebował :).

    Bo jak kiedyś pewien geniusz od marketingu słusznie l zauważy, że
    "klient nie połrzebuje wiertła, klient potrzebuje dziurę"

    --
    Marek


  • 83. Data: 2018-02-12 16:32:43
    Temat: Re: Nauka programowania FPGA
    Od: Atlantis <m...@w...pl>

    A jak wygląda kwestia programowania takich układów?
    Rozumiem, że CPLD mają wbudowaną pamięć, FPGA współpracują z zewnętrzną
    pamięcią flash. Czy pomimo tego programator podpinam do samego FPGA i on
    sobie wgrywa wsad do pamięci, czy też trzeba taką pamięć osobno
    zaprogramować, np. przez jakiś programator SPI?
    Jakiego programatora będę potrzebował do rozpoczęcia zabawy z układami
    XILINX?

    Niby zamówiłem już zestaw uruchomieniowy programowany przez USB, ale
    pewnie za jakiś czas będę chciał zastosować taki układ w swojej własnej
    konstrukcji i wtedy programator będzie już niezbędny.


  • 84. Data: 2018-02-12 17:49:00
    Temat: Re: Nauka programowania FPGA
    Od: Grzegorz Kurczyk <g...@c...usun.slupsk.pl>

    W dniu 12.02.2018 o 16:32, Atlantis pisze:
    > A jak wygląda kwestia programowania takich układów?
    > Rozumiem, że CPLD mają wbudowaną pamięć, FPGA współpracują z zewnętrzną
    > pamięcią flash. Czy pomimo tego programator podpinam do samego FPGA i on
    > sobie wgrywa wsad do pamięci, czy też trzeba taką pamięć osobno
    > zaprogramować, np. przez jakiś programator SPI?
    > Jakiego programatora będę potrzebował do rozpoczęcia zabawy z układami
    > XILINX?
    >
    > Niby zamówiłem już zestaw uruchomieniowy programowany przez USB, ale
    > pewnie za jakiś czas będę chciał zastosować taki układ w swojej własnej
    > konstrukcji i wtedy programator będzie już niezbędny.
    >

    W przypadku Xilinxa pamięć konfiguracji jest wpięta w łańcuch JTAG razem
    z układem FPGA. Programator "widzi" oba scalaki i wybierasz sobie do
    czego chcesz wrzucić "wsad".


    --
    Pozdrawiam
    Grzegorz


  • 85. Data: 2018-02-12 21:58:55
    Temat: Re: Nauka programowania FPGA
    Od: Sebastian Biały <h...@p...onet.pl>

    On 2/12/2018 8:28 AM, jacek pozniak wrote:
    >> Nie. To jest faktyczna rewolucja. Nie ma powrotu do tandety choć tandeta
    >> będzie ciągle straszyła i będzie ciągle jakimś procentem PKB i będzie
    >> ciągle kula u nogi. Nic nie poradzę, takie jest odwieczne prawo natury.
    >> Warto jednak aby legacy programmers zdawali sobie sprawę z tego że sa
    >> legacy. To pomaga w spuszczaniu powietrza.
    > Skąd ta pewność, że sam nie będziesz robił tandety albo nie uczestniczysz w
    > robieniu tandety?

    Mam za sobą wsparcie: dziesiątki lat rozwoju inzynierji oprogramowania,
    projektowania, testowania, procesow produkcji, wzorców itd itp. Można
    jes oczywiście wszystkie zanegować, co Staśki zrobia natychmiast i bez
    zastanowienia, ale wydaje się że jednak działają zaskakująco dobrze, na
    tyle że sporo kasy się w to pakuje na codzień w milionach miejsc gdzie
    produkuje się software. Również na tyle że w ostatnich latach zassały je
    firmy z rynku EDA [1] i rozbudowaly o śledzenie wymagań i cała masę
    rzeczy związanych z normami utrzymania jakości.

    A czy robie tandetę? Nie mnie to oceniać ale myślę że nie.

    [1] Jeszcze 10 lat temu zapytanie kogos z HDLowóców o system kontroli
    wersji był raczej niebezpieczne, można bylo zarobić w gębę. Obecnie
    sytuacja jest taka że *nagle* wszystkie IDE do pisania w HDL dorobiły
    się lub dorabiają się interfejsów do obsługi. Jak zwykle na złość
    Staśkom co nie moga w nich sensownie trzymać swoich schematów. Wot
    zwykła złosliwość i spisek.


  • 86. Data: 2018-02-12 22:18:11
    Temat: Re: Nauka programowania FPGA
    Od: Sebastian Biały <h...@p...onet.pl>

    On 2/12/2018 4:32 PM, Atlantis wrote:
    > A jak wygląda kwestia programowania takich układów?
    > Rozumiem, że CPLD mają wbudowaną pamięć, FPGA współpracują z zewnętrzną
    > pamięcią flash. Czy pomimo tego programator podpinam do samego FPGA i on
    > sobie wgrywa wsad do pamięci, czy też trzeba taką pamięć osobno
    > zaprogramować, np. przez jakiś programator SPI?

    Nie kombinuj. Jeśli chcesz uderzyć w FPGA to albo będzie to JTAG albo
    jakiś specjalizowany scalak robiący w zasadzie to samo co JTAG tyle że z
    płytki ewaluacyjnej bedzie wystawal zwykly USB (np. AVNET tak robi).

    Ogólnie wiekszośc problemów obskoczysz chińskim klonem Altera USB
    Blaster za $3 oraz chińskim klonem Xilinx Platorm Cable za (niestety)
    $25 [1]. Xilinx dośc czesto uwazany jest za żałosny jesli chodzi o
    problemy z programowanie własnych układów. Altera wręcz przeciwnie. Ale
    to opinie z internetu, nie musza być prawdziwe.

    > Jakiego programatora będę potrzebował do rozpoczęcia zabawy z układami
    > XILINX?

    Jakiegokolwiek JTAG który obsłuży software. Pewno ten platform cable
    choć bywaja tez zabawki na LPT ktore zapewne nie wspierają nowszych FPGA
    (nie mam i nie wiem) ...

    > Niby zamówiłem już zestaw uruchomieniowy programowany przez USB, ale
    > pewnie za jakiś czas będę chciał zastosować taki układ w swojej własnej
    > konstrukcji i wtedy programator będzie już niezbędny.

    To zainwestuj na poczatek w klon[a|y] z aliexpress. USB Blaster nadaje
    sie rowniez znakomicie do debugowania wielu CPU przez JTAG więc te $3 są
    naprawdę okazją.

    [1]
    https://www.aliexpress.com/item/Xilinx-Platform-Cabl
    e-USB-Download-Cable-Jtag-Programmer-for-FPGA-CPLD-X
    C2C256/32813303134.html


  • 87. Data: 2018-02-13 07:33:59
    Temat: Re: Nauka programowania FPGA
    Od: Grzegorz Kurczyk <g...@c...usun.slupsk.pl>

    W dniu 12.02.2018 o 22:18, Sebastian Biały pisze:
    >> Jakiego programatora będę potrzebował do rozpoczęcia zabawy z układami
    >> XILINX?
    >
    > Jakiegokolwiek JTAG który obsłuży software. Pewno ten platform cable
    > choć bywaja tez zabawki na LPT ktore zapewne nie wspierają nowszych FPGA
    > (nie mam i nie wiem) ...
    >

    Programowanie Xilinxa przez programatory na LPT to mordęga :-/
    O ile małe CPLD jeszcze jakoś szło zaprogramować bez dostania
    hercklekotu, to w przypadku FPGA jest to loteria. Tylko i wyłącznie
    jakiś klon DLC9G

    --
    Pozdrawiam
    Grzegorz


  • 88. Data: 2018-02-13 09:10:45
    Temat: Re: Nauka programowania FPGA
    Od: Marek <f...@f...com>

    On Mon, 12 Feb 2018 21:58:55 +0100, Sebastian
    Biały<h...@p...onet.pl> wrote:
    > zastanowienia, ale wydaje się że jednak działają zaskakująco
    > dobrze, na
    > tyle że sporo kasy się w to pakuje na codzień w milionach miejsc
    > gdzie
    > produkuje się software.

    Nie powinno imponować ile kasy się w coś pakuje ale ile się wyciąga,
    pakowanie to nie sztuka. Umie to robić byle rząd podle przeciętnego
    kraju,
    Jednak żyjesz odklejony od rzeczywistości. Tandeda jest wszędzie,
    począwszy od krajalnicy do chleba, poprzez bankomaty a konczywszy na
    rakietach Kima.
    Metody (nowoczesnego) zarządzania produkcją tandety nie zmieniają
    faktu, że nadal to jest tandeda z założenia.
    Jeśli jest jakaś wąska grupa produktó lub usług,, która nie sprawia
    na pierwszy rzut oka wrażenie tandety to jest tylko wyjątek
    potwierdzający regułę, lub po prostu jedynie powierzchowna opinia,
    która rozsypuje się przy dokładnym zbadaniu owego produktu lub
    usługi.

    --
    Marek


  • 89. Data: 2018-02-13 10:45:27
    Temat: Re: Nauka programowania FPGA
    Od: Piotr Dmochowski <i...@p...onet.pl>

    W dniu 2018-02-12 o 21:58, Sebastian Biały pisze:

    > Obecnie
    > sytuacja jest taka że *nagle* wszystkie IDE do pisania w HDL dorobiły
    > się lub dorabiają się interfejsów do obsługi. Jak zwykle na złość
    > Staśkom co nie moga w nich sensownie trzymać swoich schematów. Wot
    > zwykła złosliwość i spisek.
    Dzięki za wcześniejszą odpowiedź, ale jeszcze pomęczę bo mam niedosyt.
    Z tego co napisałeś to systemy EDA mają świetną kontrolę na poziomie
    wykonywania podstawowych cegiełek, domyślam się też że składanie
    cegiełek w większe moduły też jest jakoś opanowane.
    Z tego co piszesz to w projektowaniu cegiełek używa się tylko kodu, nie
    ma żadnych schematów graficznych. W sumie można przyjąć że to jest
    akceptowalne rozwiązanie, małą cegiełkę można jakoś ogarnąć koncepcyjnie
    w głowie (ewentualnie machnie się na boku na kartce schemat :) ), a
    potem już maszyny to przerabiają dalej.
    Pozostaje dla mnie kwestia jak dochodzi do stworzenia wymagań dla
    cegiełek i definicji ich powiązań między sobą. Czy ten proces tez
    zachodzi w jakimś kodzie bez nawet jednego rysunku blokowego na etapie
    wstępnego projektowania?
    A co by było jakby 10 lat temu był format schematu który można łatwo
    podzielić na części i łatwo wersjonować?
    Zastanawiam się czy całkowita rezygnacja z wersji graficznej układu nie
    jest jakimś upośledzeniem w pracy projektantów, czy faktycznie człowiek
    może zrezygnować z języka graficznego i zmienić się w interpretator
    plików tekstowych.
    Pytanie co się dzieje jak spotka się grupa projektantów i mają coś do
    omówienia - czy na tablicy piszą instrukcje czy jednak malują prostokąty
    i kreski?

    --
    Pozdrawiam
    Piotrek


  • 90. Data: 2018-02-13 18:55:28
    Temat: Re: Nauka programowania FPGA
    Od: Sebastian Biały <h...@p...onet.pl>

    On 2/13/2018 9:10 AM, Marek wrote:
    >> zastanowienia, ale wydaje się że jednak działają zaskakująco dobrze,
    >> na tyle że sporo kasy się w to pakuje na codzień w milionach miejsc
    >> gdzie produkuje się software.
    > Nie powinno imponować ile kasy się w coś pakuje ale ile się wyciąga,

    To jedno z drugim ma związek bezpośredni, chyba że mówisz o zamówieniach
    rządowych. W firmie komercyjnej inwerstujesz po to by osiagnąc zysk.
    Poniewaz w jakośc oprogramowania inwestuje się ogromne pieniadze
    prywatne możesz założyć że przynosi zysk.

    > pakowanie to nie sztuka. Umie to robić byle rząd podle przeciętnego
    > kraju, Jednak żyjesz odklejony od rzeczywistości.

    Wręcz przeciwnie, pracuje w miejscu gdzie rzeczywistośc jest silnie
    namacalna.

    > Tandeda jest wszędzie,

    To nie jest odkrywcze, ale dla wielu może być zdumiewające że istnieja
    metody pozbywania się tandety z produkcji software. Doprowadzone czesto
    do perfekcji. Nie oznacza to że na wyjsciu nie ma tandety, bywa że
    specyfikacja zawiera tandete właśnie.

    > Metody  (nowoczesnego) zarządzania produkcją tandety nie zmieniają
    > faktu, że nadal to jest tandeda  z założenia.

    Bo zalożenia. Zmień założenia dostaniesz produkt taki jak chcialeś. To
    wlasnie najciekawsze: potrafimy tym sterować, choć każde podwyższenie
    jakości kosztuje dodatkowe pieniądze i nie zawsze jest to cel biznesowy.
    A na rynku EDA takie cele biznesowe istnieją gdzie specyfikacja nie
    okresla tandety. I jej nie dostajesz bo procesy weryfikacji na to nie
    pozwalają.

    > regułę, lub  po prostu jedynie powierzchowna opinia, która rozsypuje się
    > przy dokładnym zbadaniu owego produktu lub usługi.

    Poniewaz mało która firma soft i hard prowadzona przez Staśka ma w ogóle
    pojecie jak robić lepiej. A nawet jak ma to lepiej oznacza drożej.
    Siedza wiec w małych niszach i dłubią swoje tandety wyszlifowane do
    perfekcji.

strony : 1 ... 8 . [ 9 ] . 10 . 11


Szukaj w grupach

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: