eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaVHDL - PROCESS › Re: VHDL - PROCESS
  • Path: news-archive.icm.edu.pl!agh.edu.pl!news.agh.edu.pl!newsfeed2.atman.pl!newsfeed.
    atman.pl!news.nask.pl!news.nask.org.pl!news.unit0.net!feeder.erje.net!us.feeder
    .erje.net!news.glorb.com!peer01.iad.highwinds-media.com!news.highwinds-media.co
    m!feed-me.highwinds-media.com!nx02.iad01.newshosting.com!newshosting.com!newsfe
    ed.neostrada.pl!unt-exc-02.news.neostrada.pl!unt-spo-a-01.news.neostrada.pl!new
    s.neostrada.pl.POSTED!not-for-mail
    Date: Fri, 25 Apr 2014 10:08:14 +0200
    From: Adam Górski <gorskiamalpa@wpkropkapl>
    User-Agent: Mozilla/5.0 (Windows NT 5.1; rv:24.0) Gecko/20100101 Thunderbird/24.4.0
    MIME-Version: 1.0
    Newsgroups: pl.misc.elektronika
    Subject: Re: VHDL - PROCESS
    References: <f...@g...com>
    <lj3mps$r2d$1@node1.news.atman.pl>
    <5358e985$0$2231$65785112@news.neostrada.pl>
    <535905b3$0$2229$65785112@news.neostrada.pl>
    <535909ab$0$2149$65785112@news.neostrada.pl>
    <53599121$0$2225$65785112@news.neostrada.pl>
    In-Reply-To: <53599121$0$2225$65785112@news.neostrada.pl>
    Content-Type: text/plain; charset=UTF-8; format=flowed
    Content-Transfer-Encoding: 8bit
    Lines: 33
    Message-ID: <535a17ef$0$2368$65785112@news.neostrada.pl>
    Organization: Telekomunikacja Polska
    NNTP-Posting-Host: 79.190.250.106
    X-Trace: 1398413296 unt-rea-a-01.news.neostrada.pl 2368 79.190.250.106:1187
    X-Complaints-To: a...@n...neostrada.pl
    X-Received-Bytes: 2358
    X-Received-Body-CRC: 1145539437
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:663897
    [ ukryj nagłówki ]

    >>> Lista czułości VHDL obejmuje zegary i to co przed nimi.jako input do
    >>> procesu
    >>>
    >>> U12:process(clk, reset)
    >>> if reset='0' then
    >>> x <= '0';
    >>> elseif clk'event and clk='1' then
    >>> ......
    >>
    >> Lista czułości VHDL definiuje przy zmianach jakich sygnałów proces
    >> powinien zostać wznowiony.
    >
    > Ale nie wszystkich. Tylko zegar i asynchroniczne sygnały
    > nierejestrowane. Wszystko co siedzi pod even'em nie wpisujesz, bo to
    > załatwia zbocze zegara, a nie lista czułości. Cokolwiek będzie pomiędzy
    > elseif clk'event and clk='1' then oraz endifem dla niego nie wpisujemy
    > na listę czułości procesu.
    >

    Za bardzo patrzysz na to przez pryzmat architektury na którą piszesz.
    To że większość fpga ma 4 i więcej wejściowy LUT + reg + kilka dodatków
    wymusza takie a nie inną konstrukcję.
    VHDL pozwala na dużo więcej niż może zrealizować hardware.

    Najprostszym przykładem jest proces reagujący na oba zbocza. Symuluje
    się świetnie a "skompilować" już ciężko. Nie znaczy to jednak że
    projektując ASIC takie konstrukcje nie są możliwe.

    Poza tym , nigdzie nie napisałem że to bzdury. Raczej jedna z możliwości.

    Pzdr.

    Adam Górski

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: