eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaVHDL - PROCESS › Re: VHDL - PROCESS
  • Path: news-archive.icm.edu.pl!agh.edu.pl!news.agh.edu.pl!newsfeed2.atman.pl!newsfeed.
    atman.pl!.POSTED!not-for-mail
    From: Jakub Rakus <s...@o...pl>
    Newsgroups: pl.misc.elektronika
    Subject: Re: VHDL - PROCESS
    Date: Mon, 21 Apr 2014 20:07:56 +0200
    Organization: ATMAN - ATM S.A.
    Lines: 67
    Message-ID: <lj3mps$r2d$1@node1.news.atman.pl>
    References: <f...@g...com>
    NNTP-Posting-Host: staticline-31-183-73-49.toya.net.pl
    Mime-Version: 1.0
    Content-Type: text/plain; charset=UTF-8; format=flowed
    Content-Transfer-Encoding: 8bit
    X-Trace: node1.news.atman.pl 1398103676 27725 31.183.73.49 (21 Apr 2014 18:07:56 GMT)
    X-Complaints-To: u...@a...pl
    NNTP-Posting-Date: Mon, 21 Apr 2014 18:07:56 +0000 (UTC)
    User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:24.0) Gecko/20100101
    Thunderbird/24.4.0
    In-Reply-To: <f...@g...com>
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:663646
    [ ukryj nagłówki ]

    On 20.04.2014 22:50, s...@g...com wrote:
    > Szczerze powiedziawszy nie za bardzo łapię listę czułości - process(coś_tam).
    >
    > Przykład, zwykły przerzutnik D, wersja 1:
    >
    > entity fd is
    > Port ( CLK : in std_logic;
    > D : in std_logic;
    > Q : out std_logic;
    > end fd;
    >
    > architecture Behavioral of fd is
    >
    > begin
    >
    > process (CLK)
    >
    > begin
    >
    > if CLK'event and CLK='1' then
    > Q<=D;
    > end if;
    > end process;
    >
    >
    > end Behavioral;
    >
    > =================
    >
    > I teraz to samo bez "process", wersja_2 :
    >
    > entity fd is
    > Port ( CLK : in std_logic;
    > D : in std_logic;
    > Q : out std_logic;
    > end fd;
    >
    > architecture Behavioral of fd is
    >
    > begin
    >
    > if CLK'event and CLK='1' then
    > Q<=D;
    > end if;
    >
    >
    > end Behavioral;
    >
    > =====================
    >
    > Gdzie jest różnica?
    >

    Przy tak krótkim kodzie zawartym wewnątrz procesu, to nigdzie.
    Teoretycznie to co jest zawarte w procesie będzie się realizować "po
    kolei", czyli "prawie" jak w programie napisanym na uC.
    Gdzieś czytałem ostatnio także o innej ciekawej kwestii dotyczącej
    procesu - mniej więcej chodzi o to, że słowo kluczowe "process" oraz
    podawana wraz z nim lista czułości jest ważna właściwie tylko dla
    zwiększenia czytelności kodu oraz przy vhdl-u używanym dla symulacji.
    Przy syntezie do docelowego układu kompilator zwraca uwagę głównie na
    zależności logiczne między sygnałami, czyli w tym przypadku na if
    CLK'event and CLK='1' then.

    --
    Pozdrawiam
    Jakub Rakus

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: