eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaVHDL - PROCESS › Re: VHDL - PROCESS
  • Path: news-archive.icm.edu.pl!agh.edu.pl!news.agh.edu.pl!news.cyf-kr.edu.pl!news.nask
    .pl!news.nask.org.pl!news.unit0.net!usenet.blueworldhosting.com!feeder01.bluewo
    rldhosting.com!peer01.iad.highwinds-media.com!news.highwinds-media.com!feed-me.
    highwinds-media.com!nx02.iad01.newshosting.com!newshosting.com!newsfeed.neostra
    da.pl!unt-exc-02.news.neostrada.pl!unt-spo-b-01.news.neostrada.pl!news.neostrad
    a.pl.POSTED!not-for-mail
    From: "nowyr" <d...@y...pl>
    Newsgroups: pl.misc.elektronika
    References: <f...@g...com>
    <lj3mps$r2d$1@node1.news.atman.pl>
    <5358e985$0$2231$65785112@news.neostrada.pl>
    Subject: Re: VHDL - PROCESS
    Date: Thu, 24 Apr 2014 14:38:13 +0200
    MIME-Version: 1.0
    Content-Type: text/plain; format=flowed; charset="utf-8"; reply-type=response
    Content-Transfer-Encoding: 8bit
    X-Priority: 3
    X-MSMail-Priority: Normal
    X-Newsreader: Microsoft Outlook Express 6.00.2900.5931
    X-MimeOLE: Produced By Microsoft MimeOLE V6.00.2900.6157
    Lines: 25
    Message-ID: <535905b3$0$2229$65785112@news.neostrada.pl>
    Organization: Telekomunikacja Polska
    NNTP-Posting-Host: ip-91-232-50-130.air-net.gda.pl
    X-Trace: 1398343092 unt-rea-b-01.news.neostrada.pl 2229 91.232.50.130:1226
    X-Complaints-To: a...@n...neostrada.pl
    X-Received-Bytes: 2030
    X-Received-Body-CRC: 764685896
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:663856
    [ ukryj nagłówki ]


    "Adam Górski" <gorskiamalpa@wpkropkapl> wrote in message
    news:5358e985$0$2231$65785112@news.neostrada.pl...

    >> Gdzieś czytałem ostatnio także o innej ciekawej kwestii dotyczącej
    >> procesu - mniej więcej chodzi o to, że słowo kluczowe "process" oraz
    >> podawana wraz z nim lista czułości jest ważna właściwie tylko dla
    >> zwiększenia czytelności kodu oraz przy vhdl-u używanym dla symulacji.
    >> Przy syntezie do docelowego układu kompilator zwraca uwagę głównie na
    >> zależności logiczne między sygnałami, czyli w tym przypadku na if
    >> CLK'event and CLK='1' then.
    >>
    >
    > Faktycznie największą rolę odgrywa w symulacji.
    > Należy pamiętać że bardzo łatwo jest napisać fragment niesyntezowalny,
    > czyli taki którego nie da się skompilować na 99% dostępnych układów.

    Lista czułości VHDL obejmuje zegary i to co przed nimi.jako input do procesu

    U12:process(clk, reset)
    if reset='0' then
    x <= '0';
    elseif clk'event and clk='1' then
    .....

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: