eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • X-Received: by 10.31.48.198 with SMTP id w189mr8276vkw.1.1521164959642; Thu, 15 Mar
    2018 18:49:19 -0700 (PDT)
    X-Received: by 10.31.48.198 with SMTP id w189mr8276vkw.1.1521164959642; Thu, 15 Mar
    2018 18:49:19 -0700 (PDT)
    Path: news-archive.icm.edu.pl!news.icm.edu.pl!newsfeed2.atman.pl!newsfeed.atman.pl!ne
    ws.nask.pl!news.nask.org.pl!news.unit0.net!weretis.net!feeder6.news.weretis.net
    !feeder.usenetexpress.com!feeder-in1.iad1.usenetexpress.com!border1.nntp.dca1.g
    iganews.com!nntp.giganews.com!peer02.iad!feed-me.highwinds-media.com!news.highw
    inds-media.com!r16no414626qtn.1!news-out.google.com!c39ni765qta.0!nntp.google.c
    om!t24no413255qtn.0!postnews.google.com!glegroupsg2000goo.googlegroups.com!not-
    for-mail
    Newsgroups: pl.misc.elektronika
    Date: Thu, 15 Mar 2018 18:49:19 -0700 (PDT)
    In-Reply-To: <5aaac978$0$999$65785112@news.neostrada.pl>
    Complaints-To: g...@g...com
    Injection-Info: glegroupsg2000goo.googlegroups.com; posting-host=185.234.91.194;
    posting-account=67yd9woAAAAHUu8VHyA7Js47M98NE3m3
    NNTP-Posting-Host: 185.234.91.194
    References: <p7pq40$9vr$1$gof@news.chmurka.net>
    <5aaac978$0$999$65785112@news.neostrada.pl>
    User-Agent: G2/1.0
    MIME-Version: 1.0
    Message-ID: <e...@g...com>
    Subject: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub
    niekoniecznie)?
    From: s...@g...com
    Injection-Date: Fri, 16 Mar 2018 01:49:19 +0000
    Content-Type: text/plain; charset="UTF-8"
    Content-Transfer-Encoding: quoted-printable
    X-Received-Bytes: 2014
    X-Received-Body-CRC: 3482836440
    Lines: 18
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:730336
    [ ukryj nagłówki ]

    W dniu czwartek, 15 marca 2018 20:29:24 UTC+1 użytkownik wowa napisał:
    > > Hej,
    > >
    > > Przymierzając się do zabawy z CPLD zacząłem uczyć się VHDLa z książki "The
    >
    > Pozwolę się wtrącić nieśmiało. Jak rozumiem zaczynasz naukę i
    > potrzebujesz prostych i tanich narzędzi do zrobienia niewielkiego
    > projektu. Zaraz mnie zakrzyczą za wykopaliska ale rzuć okiem na
    > Max+Plus Altery i Quartus
    > https://www.altera.com/support/support-resources/dow
    nload/legacy/maxplus2/mp2-index.html

    Zakrzyczeć to można Altere za spapraną stronę. Nie da się zassać tego softu. Z
    ciekawości chciałem spróbować, ale niestety...

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: