eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • Data: 2018-03-17 21:11:28
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: Paweł Pawłowicz <pawel.pawlowicz13@gmailDOTcom> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    W dniu 14.03.2018 o 00:24, s...@g...com pisze:

    > Tu masz rewelacyjnie napisaną książkę:
    >
    > http://misp.mui.ac.ir/sites/misp.mui.ac.ir/files/ebo
    oksclub.org__FPGA_Prototyping_by_VHDL_Examples__Xili
    nx_Spartan_3_Version.pdf

    Ta książka ma współczesne wydanie:

    https://www.amazon.com/FPGA-Prototyping-VHDL-Example
    s-MicroBlaze/dp/1119282748

    Do tego coś takiego:

    https://kamami.pl/zestawy-z-ukladami-programowalnymi
    /234860-nexys4-ddr-410-292aca-edu.html

    I to:

    https://www.xilinx.com/support/download.html

    Co o tym sądzisz? Warto zainwestować?

    P.P.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: