eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • Data: 2018-03-16 02:49:19
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: s...@g...com szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    W dniu czwartek, 15 marca 2018 20:29:24 UTC+1 użytkownik wowa napisał:
    > > Hej,
    > >
    > > Przymierzając się do zabawy z CPLD zacząłem uczyć się VHDLa z książki "The
    >
    > Pozwolę się wtrącić nieśmiało. Jak rozumiem zaczynasz naukę i
    > potrzebujesz prostych i tanich narzędzi do zrobienia niewielkiego
    > projektu. Zaraz mnie zakrzyczą za wykopaliska ale rzuć okiem na
    > Max+Plus Altery i Quartus
    > https://www.altera.com/support/support-resources/dow
    nload/legacy/maxplus2/mp2-index.html

    Zakrzyczeć to można Altere za spapraną stronę. Nie da się zassać tego softu. Z
    ciekawości chciałem spróbować, ale niestety...

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: