eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • X-Received: by 10.31.160.212 with SMTP id j203mr136548vke.12.1520955358944; Tue, 13
    Mar 2018 08:35:58 -0700 (PDT)
    X-Received: by 10.31.160.212 with SMTP id j203mr136548vke.12.1520955358944; Tue, 13
    Mar 2018 08:35:58 -0700 (PDT)
    Path: news-archive.icm.edu.pl!news.icm.edu.pl!news.nask.pl!news.nask.org.pl!news.unit
    0.net!weretis.net!feeder6.news.weretis.net!feeder.usenetexpress.com!feeder-in1.
    iad1.usenetexpress.com!border1.nntp.dca1.giganews.com!nntp.giganews.com!r16no41
    77833qtn.1!news-out.google.com!a19ni3200qtj.1!nntp.google.com!r16no4177831qtn.1
    !postnews.google.com!glegroupsg2000goo.googlegroups.com!not-for-mail
    Newsgroups: pl.misc.elektronika
    Date: Tue, 13 Mar 2018 08:35:58 -0700 (PDT)
    In-Reply-To: <p7v1j4$qnn$1@node2.news.atman.pl>
    Complaints-To: g...@g...com
    Injection-Info: glegroupsg2000goo.googlegroups.com; posting-host=185.234.91.194;
    posting-account=67yd9woAAAAHUu8VHyA7Js47M98NE3m3
    NNTP-Posting-Host: 185.234.91.194
    References: <p7pq40$9vr$1$gof@news.chmurka.net> <p7s8jf$8cd$1@node2.news.atman.pl>
    <1...@g...com>
    <p7v1j4$qnn$1@node2.news.atman.pl>
    User-Agent: G2/1.0
    MIME-Version: 1.0
    Message-ID: <7...@g...com>
    Subject: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub
    niekoniecznie)?
    From: s...@g...com
    Injection-Date: Tue, 13 Mar 2018 15:37:36 +0000
    Content-Type: text/plain; charset="UTF-8"
    Content-Transfer-Encoding: quoted-printable
    Lines: 84
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:730215
    [ ukryj nagłówki ]

    W dniu piątek, 9 marca 2018 23:24:38 UTC+1 użytkownik Sebastian Biały napisał:

    > Wszystkie kompilatory/symulatory HDL dostępne w srodowiskach "darmowych"
    > posiadają solidne ograniczenia: od rozmiaru, przez dostepne peryferia po
    > szybkośc symulacji i zakres stosowanych standardów języka. Nie istnieje
    > nic darmowego i pełnego (jak clang/gcc w software) co nadąża za
    > standardem języków HDL, dostajesz bardziej coś na kształt wersji demo.

    Symulator w ISE nie ma żadnych ograniczeń co do rozmiaru projektu. Ograniczenia
    dostępnych peryferiów? Jakich?! Szybkość symulacji behavioral jest błyskawiczna przy
    projektach zajmujących prawie całość zasobów kostki ( w moim przypadku najczęściej
    XC6SLX45-3FGG384). Czas symulacji istotnie wydłuża się przy symulacji Post-Route, co
    jest oczywiste, ale tak samo jest w wersji płatnej. Różnica pomiędzy wersją płatną, a
    darmową to TYLKO ograniczenia co do dostępnych kostek i niektórych IP. Tak czy
    inaczej nie jest to absolutnie nic na kształt demo.

    > Prawda tez taka że za standardem SystemVeriloga nikt nie nadąża bo
    > robiony po pijaku ale przynajmniej komercyjni się starają kiedy świat
    > free software nie jest w stanie zrobić sensownego parsera
    > średniowiecznych wersji VHDLa czy Veriloga.
    >

    Nie korzystam z free software, ale nie chce mi się wierzyć żeby w sofcie free nawet
    parser nie działał..


    > > Gdzie tu widzisz "mękę"?
    > Ogromne środowisko pełne śmieci zbędnych do odpalenia prostych
    > przykładów do nauki. Vivado zaczyna przekraczać zdrowy rozsadek nawet w
    > standardzie duzych programów na PC i ściga się z najnowszymi grami pod
    > kątem zjadania zasobów wszelakich.

    No to zdecyduj się.. Free software "do dupy", komercyjny za duży, czyli co jest
    dobre?

    >
    > > Minusem istotnie jest brak "analogowego", tzn. graficznego
    > obrazowanie szyny, ot takiego graficznego, "oscyloskopowego"
    > wyświetlania zawartości szyny.
    > To jest nic w porownaniu z zasobożernością tych środowisk.

    No weź mnie nie rozśmieszaj!! Masz kompletnie pokrzywioną hierarchię wartości!!
    Zasobożerność, to właśnie nie jest ŻADEN problem. Jak potrzebujesz przewozić meble,
    to kupujesz ciężarówkę, a nie robisz tego osobówką. W przypadku EDA, dokupujesz za
    parę stówek trochę pamięci, odpowiednio duży dysk i po zawodach.. Natomiast brak
    wyświetlania "analogowego" szyny, powoduje że musisz na piechotę odczytywać kolejne
    dane i robić wykresik coby zobaczyć czy sinus jest istotnie sinusem..

    >
    > > Darmowy Webpack Xilinx'a wystarcza do naprawdę bardzo rozbudowanych
    > projektów. W darmówce są ograniczenia co do układów z najwyższej półki i
    > "wyuzdanych" IP.
    > Co całkowicie usprawiedliwia moje twierdzenie że nie ma darmowych
    > środowisk w sensie jak sa darmowe kompilatory software. Te ograniczenia
    > w symulatorach HDL bywają bolesne kiedy jednoczesnie rynek software jest
    > zawalony setkami kompialtorów nie dośc że bez ograniczen to i często z
    > otwartym źródłem.

    A po cholerę Adamowi do nauki więcej?

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: