eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • Data: 2018-03-14 00:24:55
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: s...@g...com szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    W dniu poniedziałek, 12 marca 2018 12:07:51 UTC+1 użytkownik Adam Wysocki napisał:
    > s...@g...com wrote:
    >
    > > 1. Wywal te książkę do hasioka.
    >
    > Ludzie ją polecali... z drugiej strony po pierwszym rozdziale mam
    > wrażenie, że zbytnio komplikuje proste sprawy. Ale może tak musi.
    >
    > Jest jakaś książka albo tutorial, które polecasz do nauki zamiast tej?
    >

    Tu masz rewelacyjnie napisaną książkę:

    http://misp.mui.ac.ir/sites/misp.mui.ac.ir/files/ebo
    oksclub.org__FPGA_Prototyping_by_VHDL_Examples__Xili
    nx_Spartan_3_Version.pdf

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: