eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • X-Received: by 10.31.48.198 with SMTP id w189mr147561vkw.1.1520956977561; Tue, 13 Mar
    2018 09:02:57 -0700 (PDT)
    X-Received: by 10.31.48.198 with SMTP id w189mr147561vkw.1.1520956977561; Tue, 13 Mar
    2018 09:02:57 -0700 (PDT)
    Path: news-archive.icm.edu.pl!news.icm.edu.pl!news.nask.pl!news.nask.org.pl!news.unit
    0.net!peer03.am4!peer.am4.highwinds-media.com!peer02.iad!feed-me.highwinds-medi
    a.com!news.highwinds-media.com!r16no4209112qtn.1!news-out.google.com!c39ni8855q
    ta.0!nntp.google.com!t24no4206209qtn.0!postnews.google.com!glegroupsg2000goo.go
    oglegroups.com!not-for-mail
    Newsgroups: pl.misc.elektronika
    Date: Tue, 13 Mar 2018 09:02:57 -0700 (PDT)
    In-Reply-To: <p85n25$s1o$3$gof@news.chmurka.net>
    Complaints-To: g...@g...com
    Injection-Info: glegroupsg2000goo.googlegroups.com; posting-host=185.234.91.194;
    posting-account=67yd9woAAAAHUu8VHyA7Js47M98NE3m3
    NNTP-Posting-Host: 185.234.91.194
    References: <p7pq40$9vr$1$gof@news.chmurka.net>
    <7...@g...com>
    <p85n25$s1o$3$gof@news.chmurka.net>
    User-Agent: G2/1.0
    MIME-Version: 1.0
    Message-ID: <6...@g...com>
    Subject: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub
    niekoniecznie)?
    From: s...@g...com
    Injection-Date: Tue, 13 Mar 2018 16:02:57 +0000
    Content-Type: text/plain; charset="UTF-8"
    Content-Transfer-Encoding: quoted-printable
    X-Received-Bytes: 3274
    X-Received-Body-CRC: 849698941
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:730216
    [ ukryj nagłówki ]

    W dniu poniedziałek, 12 marca 2018 12:07:51 UTC+1 użytkownik Adam Wysocki napisał:

    > Ludzie ją polecali... z drugiej strony po pierwszym rozdziale mam
    > wrażenie, że zbytnio komplikuje proste sprawy. Ale może tak musi.
    >

    Ja będąc na Twoim etapie zakupiłem takie coś:
    https://www.amazon.co.uk/VHDL-Designers-Stefan-Sjoho
    lm/dp/0134734149
    Książka jest całkiem nieźle napisana, ale właśnie pierwsze rozdziały też wprowadziły
    mi trochę mętliku we łbie. Oczywiście "podstawowe podstawy" musisz załapać z jakiejś
    literatury, ale najwięcej nauczysz się na przykładach. Licznik, multiplekser, dekoder
    i tego typu cheble... Np. wpisujesz w googlarce "vhdl counter" itp.. W ISE XILINX'a
    masz też zgrabną podpowiadaczkę do syntezy i symulacji. Krótko, zwięźle i na temat.


    >
    > 2 GB... straszna kobyła... naprawdę nie ma nic mniejszego?
    >

    Cóż to w dzisiejszych czasach 2GB? Jest za to bardzo przejrzyste i szlag Cię nie
    trafia jak chcesz uzyskać zamierzony efekt. Właśnie dlatego uważam, że idealne
    zarówno do nauki jak i dalej do profesjonalnej roboty.


    >
    > Tak... trochę inaczej, niż w książce, ale intuicyjne. W sensie rozumiem,
    > co tu jest napisane, ale chyba jeszcze nie napisałbym tego od podstaw.
    >

    Nie od razu Kraków zbudowano..

    > > 4. Potem napisz sobie testbencha. Jak czegoś nie będziesz wiedział, to
    > > pytaj tutaj.
    >
    > Na razie mam problem z odpaleniem testbencha w ghdlu... mam wrażenie, że
    > odpala się nie to, co bym chciał. Pisałem o tym na comp.lang.vhdl:
    >

    Więc skorzystaj z ISE.

    > https://groups.google.com/forum/#!topic/comp.lang.vh
    dl/1Xgjo8CkxmA
    >
    John dobrze radzi !!

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: