eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaXilinx - symulator iSim › Xilinx - symulator iSim
  • X-Received: by 2002:a81:13d4:: with SMTP id 203-v6mr106914ywt.5.1540722148400; Sun,
    28 Oct 2018 03:22:28 -0700 (PDT)
    X-Received: by 2002:a81:13d4:: with SMTP id 203-v6mr106914ywt.5.1540722148400; Sun,
    28 Oct 2018 03:22:28 -0700 (PDT)
    Path: news-archive.icm.edu.pl!news.icm.edu.pl!newsfeed2.atman.pl!newsfeed.atman.pl!go
    blin1!goblin.stu.neva.ru!e5-v6no8632770qtr.0!news-out.google.com!c29-v6ni917qtg
    .1!nntp.google.com!e5-v6no8632760qtr.0!postnews.google.com!glegroupsg2000goo.go
    oglegroups.com!not-for-mail
    Newsgroups: pl.misc.elektronika
    Date: Sun, 28 Oct 2018 03:22:28 -0700 (PDT)
    Complaints-To: g...@g...com
    Injection-Info: glegroupsg2000goo.googlegroups.com; posting-host=185.234.91.202;
    posting-account=67yd9woAAAAHUu8VHyA7Js47M98NE3m3
    NNTP-Posting-Host: 185.234.91.202
    User-Agent: G2/1.0
    MIME-Version: 1.0
    Message-ID: <7...@g...com>
    Subject: Xilinx - symulator iSim
    From: s...@g...com
    Injection-Date: Sun, 28 Oct 2018 10:22:28 +0000
    Content-Type: text/plain; charset="UTF-8"
    Content-Transfer-Encoding: quoted-printable
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:737717
    [ ukryj nagłówki ]

    Witam,
    Czy ktoś z Was się orientuje jaki jest format pliku zapisu wyników symulacji z
    iSim'a? Problem w tym, że symulator nie ma opcji graficznego obrazowania danych z
    szyny. Np. mam 8-bitowy licznik binarny, który kręci się w kółko i chciałbym zobaczyć
    tą piłę na ekranie. Jakbym znał format zapisu, to sam sobie soft do tego wyrzeźbię.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: