eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • Data: 2018-03-12 20:21:18
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: Sebastian Biały <h...@p...onet.pl> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    On 3/12/2018 12:00 PM, Adam Wysocki wrote:
    >> Wszystkie kompilatory/symulatory HDL dostępne w srodowiskach "darmowych"
    >> posiadają solidne ograniczenia: od rozmiaru, przez dostepne peryferia po
    >> szybkośc symulacji i zakres stosowanych standardów języka. Nie istnieje
    >> nic darmowego i pełnego (jak clang/gcc w software) co nadąża za
    >> standardem języków HDL, dostajesz bardziej coś na kształt wersji demo.
    > Pytanie czy to demo nie wystarczy do amatorskich zastosowań...

    Wystarczy, ale jak mówie to droga przez mekę. Szczególnie dla osób
    przyzwyczajonych do rynku software gdzie można znaleźć dobre kompilatory
    w paczkach z repo i nikt nie robi łaski z jakąs licencją i dziesiątkami
    gigabajtów bloatdata.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: