eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • Data: 2018-03-12 20:33:34
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: Sebastian Biały <h...@p...onet.pl> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    On 3/12/2018 11:58 AM, Adam Wysocki wrote:
    >> Ogolnie nie ma darmowych w takim sensie jak kompilatory software. Te co
    >> są, są żałosne.
    > A ghdl? Ktoś mi polecił, ale nadal nie mogę zmusić go do działania (tzn.
    > coś odpala, chyba nie to, co chcę, i wisi ze 100% użycia CPU).

    Nie ma Veriloga, nie ma mixed. Jestes ograniczony do VHDLa i jego starej
    wersji. Do hello gate się nadaje, ale jak przyjdzie ochota korzystania z
    gotowca to okaże się że ten co chcesz napisali w Verilogu i dupa.

    Możesz obejrzec jeszcze Verilator:

    https://www.veripool.org/wiki/verilator

    i Icarus:

    http://iverilog.icarus.com/

    Wszystkie one maja się nijak do komercyjnych symulatorów z uwagi na brak
    wsparcia nowych konstrukcji i brak cholerne ważnych i poważnych ficzerów
    (jak asercje np).

    > Toole Xilinxa bardzo różnią się od tooli Lattice?

    Prawde mówić nie wiem co miało by być dla Ciebie istotne, ale wiem co
    jest istotne ogólnie: wybierasz taki jaki masz hardware docelowy. I tyle
    wolnego wyboru.

    >> Wiele narzędzi do projektowania FPGA zawiera jakieś symulatory więc
    >> szukaj tam, nie spodziewaj się jednak że znajdziesz tam wszystko za
    >> friko. W EDA nawet wersje demo mają imienną licencje.
    > Pytanie ile to "nie-friko".

    Nie ma górnego pulapu dla zastosowan komercyjnych, dodatkowo licencje są
    czasowe. Spodziewaj się kilku tyś $ za rok na jedno stanowisko za
    porzadny symulator (synteze kupujesz osobno, i jeszcze kilka innych
    tooli, razem wychodzi za dużo żeby to sobie wyobrazić).

    > Czy da się kupić układ CPLD i po prostu go
    > skonfigurować jakimiś darmowymi narzędziami, czy można o tym zapomnieć?

    Można. Ale nie spodziewaj się czegokolwiek, budowa wewnatrzna jest
    niejawna i każda nowa rodzina, ba, pojedyncza kostka to hacking i
    reverse engeneering. Nie masz szans na to aby kiedykowiek jakiś open
    source zrobił poprawna syntezę i place&route generując poprawny
    bitstream poza pojedynczymi glitchami jak ten:

    http://www.clifford.at/icestorm/

    Zerknij ogolnie tutaj:

    http://www.fpga-site.com/lowcost.shtml

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: