eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • Data: 2018-03-08 22:05:42
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: Sebastian Biały <h...@p...onet.pl> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    On 3/7/2018 11:46 PM, Adam Wysocki wrote:
    > [ciach]

    Ogolnie nie ma darmowych w takim sensie jak kompilatory software. Te co
    są, są żałosne.

    Sprawdź jednak taką drogę przez mękę:

    https://www.xilinx.com/products/design-tools/isim.ht
    ml

    Wiele narzędzi do projektowania FPGA zawiera jakieś symulatory więc
    szukaj tam, nie spodziewaj się jednak że znajdziesz tam wszystko za
    friko. W EDA nawet wersje demo mają imienną licencje.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: