eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • Data: 2018-03-09 14:41:19
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: s...@g...com szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    W dniu czwartek, 8 marca 2018 22:05:52 UTC+1 użytkownik Sebastian Biały napisał:
    > On 3/7/2018 11:46 PM, Adam Wysocki wrote:
    > > [ciach]
    >
    > Ogolnie nie ma darmowych w takim sensie jak kompilatory software. Te co
    > są, są żałosne.

    BZDURA !!

    >
    > Sprawdź jednak taką drogę przez mękę:
    >
    > https://www.xilinx.com/products/design-tools/isim.ht
    ml

    Gdzie tu widzisz "mękę"? Minusem istotnie jest brak "analogowego", tzn. graficznego
    obrazowanie szyny, ot takiego graficznego, "oscyloskopowego" wyświetlania zawartości
    szyny.

    >
    > Wiele narzędzi do projektowania FPGA zawiera jakieś symulatory więc
    > szukaj tam, nie spodziewaj się jednak że znajdziesz tam wszystko za
    > friko.

    Darmowy Webpack Xilinx'a wystarcza do naprawdę bardzo rozbudowanych projektów. W
    darmówce są ograniczenia co do układów z najwyższej półki i "wyuzdanych" IP.

    > W EDA nawet wersje demo mają imienną licencje.

    Jakie EDA?

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: