eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • Data: 2018-03-12 12:00:43
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: g...@s...invalid (Adam Wysocki) szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    Sebastian Biały <h...@p...onet.pl> wrote:

    > Wszystkie kompilatory/symulatory HDL dostępne w srodowiskach "darmowych"
    > posiadają solidne ograniczenia: od rozmiaru, przez dostepne peryferia po
    > szybkośc symulacji i zakres stosowanych standardów języka. Nie istnieje
    > nic darmowego i pełnego (jak clang/gcc w software) co nadąża za
    > standardem języków HDL, dostajesz bardziej coś na kształt wersji demo.

    Pytanie czy to demo nie wystarczy do amatorskich zastosowań...

    > To jest nic w porownaniu z zasobożernością tych środowisk.

    A ja miałem cichą nadzieję, że odpalę to na swoim raspi... ghdl nie chciał
    się skompilować, bo z jakiegoś powodu ma jakieś wstawki assemblerowe, ale
    na x86 poszedł.

    --
    [ Email: a@b a=grp b=chmurka.net ]
    [ Web: http://www.chmurka.net/ ]

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: