eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • Data: 2018-03-08 22:56:21
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: s...@g...com szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    W dniu środa, 7 marca 2018 23:46:27 UTC+1 użytkownik Adam Wysocki napisał:

    [ciach]

    1. Wywal te książkę do hasioka.
    2. Wejdź na www.xilinx.com i zassaj sobie ISE-14.7. Darmowy Webpack, wersja pod Win i
    Linux, jak Ci pasuje. Jest to zintegrowane środowisko projektowe. Masz tam całkiem
    sensowny symulator iSim. Można się tam do paru rzeczy przyczepić, ale nie jest źle.
    3. W ISE jest też taka podpowiadaczka językowa. Podstawy powinieneś intuicyjnie
    załapać. Poza tym w sieci jest od cholery tutoriali.
    4. Multiplekser napisz najpierw w wersji syntezowalnej:
    entity mux is
    Port ( A : in STD_LOGIC;
    B : in STD_LOGIC;
    SEL : in STD_LOGIC;
    Q : out STD_LOGIC);
    end mux;

    architecture Behavioral of mux is

    begin
    process(A,B,SEL)
    begin
    case SEL is
    when '0' => Q<=A;
    when '1' => Q<=B;
    when others => null;
    end case;

    end process;


    end Behavioral;

    Prawda że intuicyjne?

    4. Potem napisz sobie testbencha. Jak czegoś nie będziesz wiedział, to pytaj tutaj.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: