eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • Data: 2018-03-12 11:58:32
    Temat: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
    Od: g...@s...invalid (Adam Wysocki) szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    Sebastian Biały <h...@p...onet.pl> wrote:

    >> [ciach]
    >
    > Ogolnie nie ma darmowych w takim sensie jak kompilatory software. Te co
    > są, są żałosne.

    A ghdl? Ktoś mi polecił, ale nadal nie mogę zmusić go do działania (tzn.
    coś odpala, chyba nie to, co chcę, i wisi ze 100% użycia CPU).

    https://groups.google.com/forum/#!topic/comp.lang.vh
    dl/1Xgjo8CkxmA

    > https://www.xilinx.com/products/design-tools/isim.ht
    ml

    Na pierwszy rzut oka wydaje się kobylaste... ale jak trzeba, to trzeba.

    Toole Xilinxa bardzo różnią się od tooli Lattice?

    Jeszcze sobie to zgrałem na czytnik (jeszcze nie czytałem):
    https://tams.informatik.uni-hamburg.de/vhdl/doc/faq/
    FAQ1.html

    > Wiele narzędzi do projektowania FPGA zawiera jakieś symulatory więc
    > szukaj tam, nie spodziewaj się jednak że znajdziesz tam wszystko za
    > friko. W EDA nawet wersje demo mają imienną licencje.

    Pytanie ile to "nie-friko". Czy da się kupić układ CPLD i po prostu go
    skonfigurować jakimiś darmowymi narzędziami, czy można o tym zapomnieć?

    --
    [ Email: a@b a=grp b=chmurka.net ]
    [ Web: http://www.chmurka.net/ ]

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: