eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika[VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)? › Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub niekoniecznie)?
  • X-Received: by 10.31.16.33 with SMTP id g33mr3079844vki.13.1520546181206; Thu, 08 Mar
    2018 13:56:21 -0800 (PST)
    X-Received: by 10.31.16.33 with SMTP id g33mr3079844vki.13.1520546181206; Thu, 08 Mar
    2018 13:56:21 -0800 (PST)
    Path: news-archive.icm.edu.pl!news.icm.edu.pl!news.nask.pl!news.nask.org.pl!news.unit
    0.net!peer01.am4!peer.am4.highwinds-media.com!peer03.iad!feed-me.highwinds-medi
    a.com!news.highwinds-media.com!t24no1185991qtn.0!news-out.google.com!a13ni444qt
    d.1!nntp.google.com!r16no1178562qtn.1!postnews.google.com!glegroupsg2000goo.goo
    glegroups.com!not-for-mail
    Newsgroups: pl.misc.elektronika
    Date: Thu, 8 Mar 2018 13:56:21 -0800 (PST)
    In-Reply-To: <p7pq40$9vr$1$gof@news.chmurka.net>
    Complaints-To: g...@g...com
    Injection-Info: glegroupsg2000goo.googlegroups.com; posting-host=185.234.91.238;
    posting-account=67yd9woAAAAHUu8VHyA7Js47M98NE3m3
    NNTP-Posting-Host: 185.234.91.238
    References: <p7pq40$9vr$1$gof@news.chmurka.net>
    User-Agent: G2/1.0
    MIME-Version: 1.0
    Message-ID: <7...@g...com>
    Subject: Re: [VHDL] Pierwsze kroki, jaki symulator VHDL-2008 dla Linuksa (lub
    niekoniecznie)?
    From: s...@g...com
    Injection-Date: Thu, 08 Mar 2018 21:56:21 +0000
    Content-Type: text/plain; charset="UTF-8"
    Content-Transfer-Encoding: quoted-printable
    X-Received-Body-CRC: 618912902
    X-Received-Bytes: 2454
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:730017
    [ ukryj nagłówki ]

    W dniu środa, 7 marca 2018 23:46:27 UTC+1 użytkownik Adam Wysocki napisał:

    [ciach]

    1. Wywal te książkę do hasioka.
    2. Wejdź na www.xilinx.com i zassaj sobie ISE-14.7. Darmowy Webpack, wersja pod Win i
    Linux, jak Ci pasuje. Jest to zintegrowane środowisko projektowe. Masz tam całkiem
    sensowny symulator iSim. Można się tam do paru rzeczy przyczepić, ale nie jest źle.
    3. W ISE jest też taka podpowiadaczka językowa. Podstawy powinieneś intuicyjnie
    załapać. Poza tym w sieci jest od cholery tutoriali.
    4. Multiplekser napisz najpierw w wersji syntezowalnej:
    entity mux is
    Port ( A : in STD_LOGIC;
    B : in STD_LOGIC;
    SEL : in STD_LOGIC;
    Q : out STD_LOGIC);
    end mux;

    architecture Behavioral of mux is

    begin
    process(A,B,SEL)
    begin
    case SEL is
    when '0' => Q<=A;
    when '1' => Q<=B;
    when others => null;
    end case;

    end process;


    end Behavioral;

    Prawda że intuicyjne?

    4. Potem napisz sobie testbencha. Jak czegoś nie będziesz wiedział, to pytaj tutaj.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: